The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Md Arif Iqbal, Naveen Kumar Macha, Bhavana Tejaswini Repalle, Mostafizur Rahman A Logic Simplification Approach for Very Large Scale Crosstalk Circuit Designs. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
12Reza Mirosanlou, Mohammadkazem Taram, Zahra Shirmohammadi, Seyed Ghassem Miremadi 3DCAM: A Low Overhead Crosstalk Avoidance Mechanism for TSV-Based 3D ICs. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
12Fabricio R. L. Lobato, Antonio Jacob, Jhonatan Rodrigues, Adolfo V. T. Cartaxo, João C. W. A. Costa Inter-core crosstalk aware greedy algorithm for spectrum and core assignment in space division multiplexed elastic optical networks. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Christoph Naefgen, Markus Janczyk Smaller backward crosstalk effects for free choice tasks are not the result of immediate conflict adaptation. Search on Bibsonomy Cogn. Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Yannick Lefevre, Robert Borkowski, Jochen Maes, Thomas Pfeiffer 0001 Digital Upstream Crosstalk Cancellation for Future (T)WDM-PON Systems. Search on Bibsonomy JOCN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Miroslaw Klinkowski, Grzegorz Zalewski Dynamic Crosstalk-Aware Lightpath Provisioning in Spectrally-Spatially Flexible Optical Networks. Search on Bibsonomy JOCN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Christian Kison, Omar Mohamed Awad, Marc Fyrbiak, Christof Paar Security Implications of Intentional Capacitive Crosstalk. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Cristina Rottondi, Paolo Martelli, Pierpaolo Boffi, Luca Barletta, Massimo Tornatore Crosstalk-Aware Core and Spectrum Assignment in a Multicore Optical Link With Flexible Grid. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Mattia Miotto, Enzo Marinari, Andrea De Martino Competing endogenous RNA crosstalk at system level. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Guillermo de Anda-Jáuregui, Kai Guo, Brett A. McGregor, Eva L. Feldman, Junguk Hur Pathway crosstalk perturbation network modeling for identification of connectivity changes induced by diabetic neuropathy and pioglitazone. Search on Bibsonomy BMC Syst. Biol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Guillermo de Anda-Jáuregui, Kai Guo, Brett A. McGregor, Eva L. Feldman, Junguk Hur Correction to: Pathway crosstalk perturbation network modeling for identification of connectivity changes induced by diabetic neuropathy and pioglitazone. Search on Bibsonomy BMC Syst. Biol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Subramaniam Bharathi, Muthusamy Suganthi, Gowrison Gengavel Modified weighted code with bit invert approach to minimize crosstalk in network on chip links. Search on Bibsonomy Clust. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Klaas Dijkstra, Jaap van de Loosdrecht, Lambert R. B. Schomaker, Marco A. Wiering Hyperspectral demosaicking and crosstalk correction using deep learning. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Sandesh Jain, Anuj Agrawal, Rangeet Mitra, Vimal Bhatia, Shashi Prakash Low Complexity Kernel-MSER based Equalizer for Crosstalk Mitigation in Multicore Fiber Communication. Search on Bibsonomy ANTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Eleanor O'Keefe, Charles Lloyd, Tommy Bullock, Alex Van Atta, Marc D. Winterbottom Evaluating the effect of stereoscopic display crosstalk on simulated remote vision system depth discrimination. Search on Bibsonomy SD&A The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Hiroto Kondo, Tomotaka Kimura, Yutaka Fukuchi, Kouji Hirata Static routing and spectrum allocation for mitigating crosstalk in multi-core fiber networks. Search on Bibsonomy ICCE-TW The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12João L. Rebola, Tiago M. F. Alves, Adolfo V. T. Cartaxo Assessment of the Combined Effect of Laser Phase Noise and Intercore Crosstalk on the Outage Probability of DD OOK Systems. Search on Bibsonomy ICTON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Eun Ae Lee, Junseok Kim, Hyuk-Je Kim, Young-Jun Chong, Joon Ho Cho Internal Crosstalk Calibration for a Fully-Switched MIMO Channel Sounder. Search on Bibsonomy VTC Spring The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Jingjie Li, Hadi Heidari, Jiaqing Shi, Yanzhang Wang Magnetic Crosstalk Suppression and Probe Miniaturization of Coupled Core Fluxgate Sensors. Search on Bibsonomy IEEE SENSORS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Haoliang Zhang, Chengcheng Hou, Zhangjun Yu, Jun Yang 0024, Hanyang Li, Yonggu Yuan, Libo Yuan High-Accuracy Distributed Polarization Crosstalk Measurements Based on White Light Interferometry. Search on Bibsonomy I2MTC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Ahmad Patooghy, Maral Filvan Torkaman, Mehdi Elahi Your hardware is all wired up!: attacking network-on-chips via crosstalk channel. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Mahsa Akhsham, Atefesadat Seyedolhosseini, Zainalabedin Navabi Test Adapted Shielding by a Multipurpose Crosstalk Avoidance Scheme. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Rezgar Sadeghi, Nooshin Nosrati, Katayoon Basharkhah, Zainalabedin Navabi Back-annotation of Interconnect Physical Properties for System-Level Crosstalk Modeling. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Krzysztof Walkowiak, Adam Wlodarczyk, Miroslaw Klinkowski Effective Worst-Case Crosstalk Estimation for Dynamic Translucent SDM Elastic Optical Networks. Search on Bibsonomy ICC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Amir Minoofar, Amirnader Askarpour, Abdolali Abdipour Efficiency and Crosstalk in Demultiplexing Orbital Angular Momentum Modes using a Geometrical Transformation-based Mode Sorter. Search on Bibsonomy IWCIT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Ziyi Chang, Hui Li 0034, Zhuqin Chu, Huaxi Gu, Xiaochun Ye Crosstalk-aware GA-based wavelength allocation method for ring-based optical network-on-chip. Search on Bibsonomy ACM TUR-C The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Eric Carlos Hamdan, Filippo Maria Fazi Low Frequency Crosstalk Cancellation and Its Relationship to Amplitude Panning. Search on Bibsonomy ICASSP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Wajahat H. Abbasi, Youkyeong Park, Jae-Kun Kim, Jungsuk Kim, Hosung Kang A Low-Crosstalk 64-Pixel Stimulator Array Design for Subretinal Implants. Search on Bibsonomy ICEIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Raju Mudavath, B. Rajendra Naik, Bhaskar Gugulothu Analysis of Crosstalk Noise for Coupled Microstrip Interconnect Models in High-Speed PCB Design. Search on Bibsonomy ICEIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Feridoon Jalili, Martin H. Nielsen, Ming Shen 0001, Ole K. Jensen, Jan H. Mikkelsen, Gert Frølund Pedersen Linearization of Active Transmitter Arrays in Presence of Antenna Crosstalk for 5G Systems. Search on Bibsonomy NORCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Zhuqin Chu, Hui Li 0034, Wenting Wei Crosstalk-and Latency-Aware Wavelength Assignment Method for WDM-based Optical Network-on-Chip. Search on Bibsonomy ICCT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Indu Yadav, Ashish Joshi, Ettore Ruscino, Valentino Liberali, Attilio Andreazza, Hitesh Shrimali Design of HV-CMOS Detectors in BCD Technology with Noise and Crosstalk Measurements. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Alberto Brihuega, Mahmoud Abdelaziz, Lauri Anttila, Carlos Baquero Barneto, Mikko Valkama Closed-Loop DPD for Digital MIMO Transmitters Under Antenna Crosstalk. Search on Bibsonomy ACSSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Manvi Sharma, Mayank Kumar Rai, Rajesh Khanna Temperature-dependent analysis of Crosstalk noise in Capacitively Coupled Interconnects for Mixed Carbon Nanotubes Bundle. Search on Bibsonomy TENCON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Ramneek Sidhu, Mayank Kumar Rai Influence of Width and Space on Crosstalk Analysis in Adjacent MLGNR Interconnects. Search on Bibsonomy TENCON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Venkata Sai Praneeth Karempudi, Ishan G. Thakkar Mitigating inter-channel crosstalk non-uniformity in microring filter arrays of photonic NoCs: work-in-progress. Search on Bibsonomy CODES+ISSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Xinhao Shi, Ning Wu, Fen Ge, Gaizhen Yan, Yan Xing, Xudong Ma Srax: A Low Crosstalk and Insertion Loss 5×5 Optical Router for Optical Network-on-Chip. Search on Bibsonomy IECON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Md Arif Iqbal, Naveen Kumar Macha, Bhavana Tejaswini Repalle, Mostafizur Rahman A Logic Simplification Approach for Very Large Scale Crosstalk Circuit Designs. Search on Bibsonomy NANOARCH The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Keijiro Suzuki, Ryotaro Konoike, Satoshi Suda, Hiroyuki Matsuura, Shu Namiki, Hitoshi Kawashima, Kazuhiro Ikeda Low-Crosstalk Bandwidth Expansion in $32\times 32$ Silicon Optical Switch with Port-Exchanged Mach-Zehnder Switch. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Gen Kawabata, Koji Igarashi Numerical Evaluation of Modal Crosstalk Statistics in Multiple Connectors of Weakly-coupled 10-mode Fibers. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Kosuke Kubota, Yosuke Tanigawa, Hideki Tode, Yusuke Hirota Spectrum Allocation Considering Crosstalk Impacts at both Fibers and Nodes in Space-Division Multiplexing Elastic Optical Networks. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Hidenori Takahashi, Daiki Soma, Takehiro Tsuritani Evaluation of Core-to-Core Q-difference Compensation Scheme against Coherent Crosstalk for SDM Transmission. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Kenta Nishimura, Takanori Sato, Takeshi Fujisawa, Yoshimichi Amma, Katsuhiro Takenaga, Kazuhiko Aikawa, Kunimasa Saitoh Cladding Diameter Dependence of Inter-Core Crosstalk in Heterogeneous Multicore Fibers. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Qiuyan Yao, Hui Yang 0006, Ao Yu, Jie Zhang 0006, Yuefeng Ji Service Provisioning based on Association Rules Mining between Crosstalk and Fragmentization in Multi-core Elastic Optical Networks. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Benjamin J. Puttnam, Georg Rademacher, Ruben S. Luís, Tobias A. Eriksson, Werner Klaus, Yoshinari Awaji, Naoya Wada, Koichi Maeda, Shigehiro Takasaka, Ryuichi Sugizaki Transmission and Crosstalk Measurements in MC-EDFA amplified 19-core link. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Shingo Murakami, Tomotaka Mori, Bingzhou Hong, Haisong Jiang, Kiichi Hamamoto Mode Crosstalk Improvement of Active-MMI Mode Selective Laser Diode using Slit Structure. Search on Bibsonomy OECC/PSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Maria Francisca Porto Cruz, Maria Vomero, Elena Zucchini, Emanuela Delfino, Maria Asplund, Thomas Stieglitz, Luciano Fadiga Can Crosstalk Compromise the Recording of High-Frequency Neural Signals? Search on Bibsonomy NER The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Tarak Nath Mandal, Kaushik Dey, Ankita Dutta Banik, Ranjan Mehera, Rajat Kumar Pal Bottleneck Crosstalk Minimization in Three-Layer Channel Routing. Search on Bibsonomy ACSS (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Ceyi Ma, Yinghong Wen, Dan Zhang 0019, Jianjun Xiao, Wangqun Sheng Crosstalk Prediction of Cables Based on Cosserat Elastic Rod Theory. Search on Bibsonomy WOCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Shinya Tanimura, Akira Tsuchiya, Ryosuke Noguchi, Toshiyuki Inoue, Keiji Kishine Design of Crosstalk Noise Filter for Multi-Channel Transimpedance Amplifier. Search on Bibsonomy SoCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Yen-Hao Chen, Po-Chen Huang, Fu-Wei Chen, Allen C.-H. Wu, TingTing Hwang Crosstalk-aware TSV-buffer Insertion in 3D IC. Search on Bibsonomy SoCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Keijiro Suzuki, Ryotaro Konoike, Satoshi Suda, Hiroyuki Matsuura, Shu Namiki, Hitoshi Kawashima, Kazuhiro Ikeda Low-Loss, Low-Crosstalk, and Large-Scale Silicon Photonics Switch. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Lin Gan, Jiajun Zhou, Li Shen, Xiancong Guo, Yanlin Wang, Chen Yang, Weijun Tong, Li Xia, Songnian Fu, Ming Tang 0005, Deming Liu Ultra-Low Crosstalk Fused Taper Type Fan-In/Fan-Out Devices for Multicore Fibers. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Ning Wang, Inwoong Kim, Olga Vassilieva, Tadashi Ikeuchi, He Wen, Jose Enrique Antonio-Lopez, Juan Carlos Alvarado-Zacarias, Pierre Sillard, Cedric Gonnet, Huiyuan Liu, Shengli Fan, Md. Selim Habib, Rodrigo Amezcua Correa, Guifang Li Low-Crosstalk Few-Mode EDFA for Single-Mode Fiber Trunk Lines and Networks. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Piotr Lechowicz, Rubén Rumipamba-Zambrano, Jordi Perelló, Salvatore Spadaro, Krzysztof Walkowiak Inter-Core Crosstalk Impact on Migration Planning from Elastic Optical Networks to Spectrally-Spatially Flexible Optical Networks. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Georg Rademacher, Ruben S. Luis, Benjamin J. Puttnam, Yoshinari Awaji, Naoya Wada Impact of Modulation Format on Dynamic Channel Crosstalk Behavior in Multi-Core Fibers. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Hui Yuan, Arsalan Saljoghei, Tetsuya Hayashi, Tetsuya Nakanishi, Eric Sillekens, Lídia Galdino, Polina Bayvel, Zhixin Liu, Georgios Zervas Experimental Investigation of Static and Dynamic Crosstalk in Trench-Assisted Multi-Core Fiber. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Vladimir Gordienko, Filipe M. Ferreira, Vítor Ribeiro 0001, Nick J. Doran Suppression of Nonlinear Crosstalk in a Polarization Insensitive FOPA by Mid-Stage Idler Removal. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Tiago M. F. Alves, João L. Rebola, Adolfo V. T. Cartaxo Outage Probability Due to Intercore Crosstalk in Weakly-Coupled MCF Systems with OOK Signaling. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Tobias A. Eriksson, Benjamin J. Puttnam, Georg Rademacher, Ruben S. Luis, Masahiro Takeoka, Yoshinari Awaji, Masahide Sasaki, Naoya Wada Inter-Core Crosstalk Impact of Classical Channels on CV-QKD in Multicore Fiber Transmission. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Xuebing Zhang, Chao Li, Yuqing Jiao, Henrie van den Boom, Eduward Tangdiongga, Zizheng Cao, Ton Koonen Crosstalk-Free AWGR-Based 2-D IR beam Steered Optical Wireless Communication System for High Spatial Resolution. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Benjamin J. Puttnam, Georg Rademacher, Ruben S. Luis, Hideaki Furukawa, Andrew Ross-Adams, Simon Gross, Michael J. Withford, Nicolas Riesen, Yusuke Sasaki, Kunimasa Saitoh, Kazuhike Aikawa, Yoshinari Awaji, Naoya Wada Dynamic Crosstalk Study in a Few-Mode-Multi-Core Fiber. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
12Junwei Yang, Weiwei Shi 0001, Zhiyu Huang, Yuan Xu, Yanghao Zheng, Xuanbin Fang A Optimized PPD CMOS Pixel with 26.09 % Transfer Efficiency Improvement and 43.34 % Crosstalk Suppression for I-ToF Application. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Zhiwei Zhao, Yuejun Zhang, Pengjun Wang, Huihong Zhang, Zhang Weishan Design of Crosstalk NAND Gate Circuit Based on Interconnect Coupling Capacitance. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Sandesh Jain, Anuj Agrawal, Vimal Bhatia, Shashi Prakash Crosstalk Mitigation in Long-Reach Multicore Fiber Communication Systems Using RKHS Based Nonlinear Equalization. Search on Bibsonomy ONDM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Raihan Sayeed Khan, Nadim H. Kanan, Jake Scoggin, Helena Silva, Ali Gokirmak Multi-contact Phase Change Toggle Logic Device Utilizing Thermal Crosstalk. Search on Bibsonomy DRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Harry Muljono, Kathy Peng, Linda Sun, Isaac Abraham, Charlie Lin, Yanjie Zhu, Chunrong Song A 2.666GT/s 128GB/s 14nm Memory I/O with Jitter and Crosstalk Cancellation. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Md Arif Iqbal, Naveen Kumar Macha, Bhavana Tejaswini Repalle, Mostafizur Rahman Designing Crosstalk Circuits at 7nm. Search on Bibsonomy ICRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Swatilekha Majumdar A Novel Gate-Level On-Chip Crosstalk Noise Reduction Circuit for Deep Sub-micron Technology. Search on Bibsonomy VDAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Yin Hoe Ng, Teong Chee Chuah, Ahmadun Nijar Zainal Abidin, Nabihah Hashim, Azhari Asrokin Multimode precoding for crosstalk mitigation in ultra-broadband DSL systems. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Cosimo Aprile, Alessandro Cevrero, Pier Andrea Francese, Christian Menolfi, Matthias Braendli, Marcel A. Kossel, Thomas Morf, Lukas Kull, Ilter Oezkaya, Yusuf Leblebici, Volkan Cevher, Thomas Toifl An Eight-Lane 7-Gb/s/pin Source Synchronous Single-Ended RX With Equalization and Far-End Crosstalk Cancellation for Backplane Channels. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Yongli Zhao, Liyazhou Hu, Ruijie Zhu, Xiaosong Yu, Xinbo Wang, Jie Zhang 0006 Crosstalk-Aware Spectrum Defragmentation Based on Spectrum Compactness in Space Division Multiplexing Enabled Elastic Optical Networks With Multicore Fiber. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Sudeep Pasricha HYDRA: Heterodyne Crosstalk Mitigation With Double Microring Resonators and Data Encoding for Photonic NoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Tao Liang, Flavia Grassi, Giordano Spadacini, Sergio Amedeo Pignari Statistical Estimation of Crosstalk through a Modified Stochastic Reduced Order Model Approach. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Tadashi Wadayama, Taisuke Izumi Bounds on the Asymptotic Rate for Capacitive Crosstalk Avoidance Codes for On-Chip Buses. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Yash Agrawal, Girish Kumar Mekala, Rajeevan Chandel A Unified Delay, Power and Crosstalk Model for Current Mode Signaling Multiwall Carbon Nanotube Interconnects. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Mohamed Djendi, Meriem Zoulikha A new efficient backward BSS crosstalk-resistant algorithm for automatic blind speech quality enhancement. Search on Bibsonomy Int. J. Speech Technol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Jianbing Shen, Yan Zhang, Zhiyuan Liang, Chang Liu 0071, Hanqiu Sun, Xiaopeng Hao, Jianhong Liu, Jian Yang 0009, Ling Shao 0001 Robust Stereoscopic Crosstalk Prediction. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Zahra Shirmohammadi, Zeinab Mahdavi An efficient and low power one-lambda crosstalk avoidance code design for network on chips. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Juan Wu, Min Bai Incoherent dictionary learning for reducing crosstalk noise in least-squares reverse time migration. Search on Bibsonomy Comput. Geosci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Hailong Jiao, Rui Wang, Yifan He Crosstalk-noise-aware bus coding with low-power ground-gated repeaters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Dai Jiang, Andreas Demosthenous A Multichannel High-Frequency Power-Isolated Neural Stimulator With Crosstalk Reduction. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Changqing Xu, Yi Liu 0060, Shuai Ma, Yintang Yang Low power and reliable interconnection with combination of Crosstalk Avoidance Green Coding and capacitively charge-sharing transmitter for network-on-chip. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Songjie Zhao, Zhongliang Pan Bandwidth expanding technology for dynamic crosstalk aware single-walled and multi-walled carbon nanotube bundle interconnects. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Fengxian Tang, Yonghu Yan, Limei Peng, Sanjay K. Bose, Gangxiang Shen Counter-Propagating Core Assignment in Multi-Core Fiber Optical Networks to Reduce Inter-Core Crosstalk and Capacity Wastage. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
12Naveen Kumar Macha, Sandeep Geedipally, Bhavana Tejaswini Repalle, Md Arif Iqbal, Wafi Danesh, Mostafizur Rahman Crosstalk based Fine-Grained Reconfiguration Techniques for Polymorphic Circuits. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
12Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem Mitigating Wordline Crosstalk using Adaptive Trees of Counters. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
12Nassima Tidjani, Sébastien Bissey, Sébastien Jacques, Jean-Charles Le Bunetel Microstrip Filter Against the Crosstalk Effect in Planar Power Devices. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Ahmed E. A. Farghal, Hossam M. H. Shalaby Reducing Inter-Core Crosstalk Impact via Code-Interleaving and Bipolar 2-PPM for Core-Multiplexed SAC OCDMA PON. Search on Bibsonomy JOCN The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Hui Yuan, Marija Furdek, Ajmal Muhammad, Arsalan Saljoghei, Lena Wosinska, Georgios Zervas Space-Division Multiplexing in Data Center Networks: On Multi-Core Fiber Solutions and Crosstalk-Suppressed Resource Allocation. Search on Bibsonomy JOCN The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Ruben Zamora, Sebastian Korff, Qi Mi, Derek Barclay, Lukas Schimunek, Riccardo Zucca, Xerxes D. Arsiwalla, Richard L. Simmons, Paul F. M. J. Verschure, Timothy R. Billiar, Yoram Vodovotz A computational analysis of dynamic, multi-organ inflammatory crosstalk induced by endotoxin in mice. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Malgorzata Kardynska, Anna Paszek, Jaroslaw Smieja, David G. Spiller, Wieslawa Widlak, Michael R. H. White, Pawel Paszek, Marek Kimmel Quantitative analysis reveals crosstalk mechanisms of heat shock-induced attenuation of NF-κB signaling at the single cell level. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Chee Keong Tan, Teong Chee Chuah, Mohd Saiful Bahri Crosstalk-aware dynamic spectrum management algorithm for green DSL systems. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Ioannis A. Papistas, Vasilis F. Pavlidis Efficient Modeling of Crosstalk Noise on Power Distribution Networks for Contactless 3-D ICs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Benjamin C. Fortune, Lachlan R. McKenzie, Logan T. Chatfield, Christopher G. Pretty Crosstalk Reduction in Forearm Electromyography During Static Gripping. Search on Bibsonomy MESA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12T. Siva Teja, T. Sai Kiran, T. V. V. Satya Narayana, M. Vinodhini, N. S. Murty Joint Crosstalk Avoidance with Multiple Bit Error Correction Coding Technique for NoC Interconnect. Search on Bibsonomy ICACCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Bruno R. P. Pinheiro, João L. Rebola, Adolfo V. T. Cartaxo Inter-Core Crosstalk Dependence on Design Parameters in Coherent Detection Weakly-Coupled Multicore Fiber Systems. Search on Bibsonomy ICTON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Mariangela Rapisarda, Alberto Gatto 0001, Paola Parolari Impact of Crosstalk in SDM Short-Reach Systems in Presence of Multicarrier Transmission. Search on Bibsonomy ICTON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Krzysztof Walkowiak, Piotr Lechowicz, Miroslaw Klinkowski Impact of Inter-Core Crosstalk on Performance of Spectrally- Spatially Flexible Optical Networks with B2B Regeneration. Search on Bibsonomy ICTON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Miroslaw Klinkowski, Krzysztof Walkowiak Impact of Crosstalk Estimation Methods on the Performance of Spectrally and Spatially Flexible Optical Networks. Search on Bibsonomy ICTON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license