The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnections with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1975 (15) 1976-1981 (16) 1982-1986 (15) 1987-1988 (24) 1989 (15) 1990 (18) 1991-1992 (27) 1993 (25) 1994 (19) 1995 (40) 1996 (35) 1997 (32) 1998 (22) 1999 (44) 2000 (58) 2001 (39) 2002 (42) 2003 (40) 2004 (56) 2005 (74) 2006 (71) 2007 (81) 2008 (83) 2009 (54) 2010 (28) 2011 (23) 2012 (21) 2013 (27) 2014 (20) 2015 (19) 2016 (25) 2017 (20) 2018 (36) 2019 (22) 2020 (16) 2021 (27) 2022 (30) 2023 (61) 2024 (4)
Publication types (Num. hits)
article(449) book(2) incollection(4) inproceedings(857) phdthesis(11) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1038 occurrences of 719 keywords

Results
Found 1324 publication records. Showing 1324 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Weiru Liu Conflict Analysis and Merging Operators Selection in Possibility Theory. Search on Bibsonomy ECSQARU The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Trivikram Immaneni, Krishnaprasad Thirunarayan A Unified Approach to Retrieving Web Documents and Semantic Web Data. Search on Bibsonomy ESWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hybrid Retrieval, Unified Web, Hybrid Query Language, Information Retrieval, Semantic Web, Data Retrieval
9Agostino Forestiero, Carlo Mastroianni, Fausto Pupo, Giandomenico Spezzano Bio-inspired Grid Information System with Epidemic Tuning. Search on Bibsonomy GPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Alexander Khitun, Mingqiang Bao, Jooyoung Lee, Kang L. Wang, D. W. Lee, S. Wang Cellular Nonlinear Network with Spin Wave Bus. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Lamia Romdhani, Christian Bonnet Crossaid (XAid): towards a new scalable cross-layer architecture for manets. Search on Bibsonomy MOBIWAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, MANET, architecture, cross-layer
9Hongzhi Wang 0003, Jean-Philippe Delahaye, Pierre Leray, Jacques Palicot Managing dynamic reconfiguration on MIMO Decoder. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Alex K. Jones, Raymond R. Hoare, Joseph St. Onge, Joshua M. Lucas, Shuyi Shao, Rami G. Melhem Linking Compilation and Visualization for Massively Parallel Programs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Guijun Gao, Youren Wang, Jiang Cui, Rui Yao Research on Multi-objective On-Line Evolution Technology of Digital Circuit Based on FPGA Model. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF On-line Evolution, Multi-objective Evolutionary Method, FPGA Model, Digital Circuit, Evolvable Hardware
9Janusz A. Starzyk, Haibo He, Yue Li A Hierarchical Self-organizing Associative Memory for Machine Learning. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Deqing Zou, Jong Hyuk Park, Tai-Hoon Kim, Xueguang Chen Integrating Attribute and Status Constraint into the RBAC Model for Access Control in Ubiquitous Systems. Search on Bibsonomy FGCN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
9Saeed Parsa, Gholamreza Safi ArchC#: A New Architecture Description Language for Distributed Systems. Search on Bibsonomy FSEN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Xiao Yang, Jinkui Hou, Jiancheng Wan Formal Semantic Meanings of Architecture-Centric Model Mapping. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Richard T. B. Ma, Dah-Ming Chiu, John C. S. Lui, Vishal Misra, Dan Rubenstein Internet economics: the use of Shapley value for ISP settlement. Search on Bibsonomy CoNEXT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Orna Peleg, Zohar Eviatar, Hananel Hazan, Larry M. Manevitz Differences and Interactions Between Cerebral Hemispheres When Processing Ambiguous Words. Search on Bibsonomy WAPCV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Wei-Wei Lin 0004, Shu-Han Yang, Wen-June Wang Decentralized guaranteed cost control synthesis in fuzzy interconnected systems. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Scott Henninger A Framework for Flexible and Executable Usability Patterns Standards. Search on Bibsonomy SEW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Impact of interconnect length changes on effective materials properties (dielectric constant). Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, routing, interconnect, cycle time, interconnect model, rent, path delay
9Ben A. Abderazek, Mushfiquzzaman Akanda, Tsutomu Yoshinaga, Masahiro Sowa Mathematical Model for Multiobjective Synthesis of NoC Architectures. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Noshir S. Contractor, Harold D. Green Cyberinfrastructure knowledge networks on the web a recommender system for locating resources in a knowledge network. Search on Bibsonomy DG.O The full citation details ... 2007 DBLP  BibTeX  RDF CI-KNOW, tobacco control, recommender system, social network analysis, cyberinfrastructure, knowledge network
9Rodrigo Jaramillo-Ramirez, Mohab Anis A Dual-Threshold FPGA Routing Design for Subthreshold Leakage Reduction. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Arash Ahmadi, Mark Zwolinski Multiple-Width Bus Partitioning Approach to Datapath Synthesis. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto Dynamic Reconfigurability in Embedded System Design. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Li Zhang, Tao Qin 0001, Tie-Yan Liu, Ying Bao, Hang Li 0001 N -Step PageRank for Web Search. Search on Bibsonomy ECIR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ismo Hänninen, Jarmo Takala Robust Adders Based on Quantum-Dot Cellular Automata. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Azzam I. Moustapha, Rastko R. Selmic Wireless Sensor Network Modeling Using Modified Recurrent Neural Networks: Application to Fault Detection. Search on Bibsonomy ICNSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Harinder Seera, Seng Wai Loke, Torab Torabi Towards Device-Blending: Model and Challenges. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yuxia Lei, Yan Wang, Baoxiang Cao, Jiguo Yu Concept Interconnection Based on Many-Valued Context Analysis. Search on Bibsonomy PAKDD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Extended Many-Valued Context, Semantic Interconnection, Structure Interconnection, Formal Concept Analysis, Formal Description
9José Luiz Fiadeiro, Vincent Schmitt Structured Co-spans: An Algebra of Interaction Protocols. Search on Bibsonomy CALCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Louis Scheffer CAD Implications of New Interconnect Technologies. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mehrdad Sabetzadeh, Steve M. Easterbrook View merging in the presence of incompleteness and inconsistency. Search on Bibsonomy Requir. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF View-based development, View merging, Model management, Inconsistency management
9Janusz Czelakowski General Theory of the Commutator for Deductive Systems. Part I. Basic Facts. Search on Bibsonomy Stud Logica The full citation details ... 2006 DBLP  DOI  BibTeX  RDF commutator formula, centralizator, deductive system
9Mauricio Ayala-Rincón, Carlos H. Llanos, Ricardo P. Jacobi, Reiner W. Hartenstein Prototyping time- and space-efficient computations of algebraic operations over dynamically reconfigurable systems modeled by rewriting-logic. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Term Rewriting Systems (TRS), algebraic manipulation, dynamically reconfigurable systems, Fast Fourier Transform (FFT), reconfigurable computing, systolic arrays, rewriting-logic
9Shuguang Zhao, Licheng Jiao Multi-objective evolutionary design and knowledge discovery of logic circuits based on an adaptive genetic algorithm. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Evolutionary design of circuits, Knowledge discovery, Evolvable hardware, Multi-objective genetic algorithm, Adaptive genetic algorithm
9Shangjiang Guo, Lihong Huang Stability analysis of Cohen-Grossberg neural networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Janusz A. Starzyk, Zhen Zhu, Yue Li Associative Learning in Hierarchical Self-Organizing Learning Arrays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Stamatis Vassiliadis, Ioannis Sourdis FLUX Networks: Interconnects on Demand. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christophe Alexandre, Marek Sroka, Hugo Clément, Christian Masson Zephyr: A Static Timing Analyzer Integrated in a Trans-hierarchical Refinement Design Flow. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Laurent Philippe 0001, Sylvie Damy, Bénédicte Herrmann, I. Djama, Sylvain Dahan Evaluation of a Large Scale Lookup Algorithm in ASP Based Grids. Search on Bibsonomy ISPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Lookup algorithm, Grids, Application Service Provider, Graph traversal
9Mohammad Tehranipoor, Reza M. Rad Fine-grained island style architecture for molecular electronic devices. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Pablo Robelly, Hendrik Seidel, K. C. Chen, Gerhard P. Fettweis Energy efficiency vs. programmability trade-off: architectures and design principles. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Sri Kanajan, Haibo Zeng 0001, Claudio Pinello, Alberto L. Sangiovanni-Vincentelli Exploring trade-off's between centralized versus decentralized automotive architectures using a virtual integration environment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Gustavo Zurita, Miguel Nussbaum An Ad-Hoc Wireless Network Architecture for Face-to-Face Mobile Collaborative Applications. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9David Borgo Sync or Swarm: Musical Improvisation and the Complex Dynamics of Group Creativity. Search on Bibsonomy Essays Dedicated to Joseph A. Goguen The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kamran Sartipi, Nima Dezhkam, Hossein Safyallah An Orchestrated Multi-view Software Architecture Reconstruction Environment. Search on Bibsonomy WCRE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Design, Scenario, Recovery, Structure, Behavior, Schema, Multi-view, Pattern mining
9Jung-Yu Lai Assessment of employees' perceptions of service quality and satisfaction with e-business. Search on Bibsonomy CPR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF e-business, user satisfaction, service quality, enterprise applications
9Arthur Pereira Frantz, Fernanda Lima Kastensmidt, Luigi Carro, Érika F. Cota Evaluation of SEU and crosstalk effects in network-on-chip switches. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, crosstalk, single-event upset
9Thomas Voice Stability of multi-path dual congestion control algorithms. Search on Bibsonomy VALUETOOLS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF internet, stability, flow control, dynamic routing
9Christian Klukas, Falk Schreiber, Henning Schwöbbermeyer Coordinated perspectives and enhanced force-directed layout for the analysis of network motifs. Search on Bibsonomy APVIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coordinated perspectives, graph drawing, network analysis, multiple views, information visualisation, network motifs, force-directed layout
9Johan Huysmans, David Martens, Bart Baesens, Jan Vanthienen, Tony Van Gestel Country Corruption Analysis with Self Organizing Maps and Support Vector Machines. Search on Bibsonomy WISI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Zhongxue Yang, Adem Karahoca An Anomaly Intrusion Detection Approach Using Cellular Neural Networks. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Data Mining, Intrusion detection system, Cellular Neural Networks
9Ye Zhou, Edward A. Lee A causality interface for deadlock analysis in dataflow. Search on Bibsonomy EMSOFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF behavioral types, interfaces, deadlock, causality, dataflow, actors
9G. Rubin, K. Bielawski, J. Baszun A Hardware Conceptual Prototyping of the Genetic Algorithm to Adaptive IIR Filtering. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jason Cong, Yiping Fan, Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed register file, behavior synthesis, resource binding
9Kun Niu, Shubo Zhang, Junliang Chen An Initializing Cluster Centers Algorithm Based on Pointer Ring. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay-balanced, minimal-power, interconnects, repeaters
9Weihua Zhang, Tao Bao, Binyu Zang, Chuanqi Zhu Data Pipeline Optimization for Shared Memory Multiple-SIMD Architecture. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Elizabeth J. Brauer, Ilhan Hatirnaz, Stéphane Badel, Yusuf Leblebici Via-programmable expanded universal logic gate in MCML for structured ASIC applications: circuit design. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Manho Kim, Daewook Kim, Gerald E. Sobelman Network-on-chip link analysis under power and performance constraints. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mitchell J. Myjak, José G. Delgado-Frias Superpipelined reconfigurable hardware for DSP. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Wing On Fung, Tughrul Arslan, Sami Khawam Genetic Algorithm based Engine for Domain-Specific Reconfigurable Arrays. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Shahin Nazarian, Ali Iranli, Massoud Pedram Crosstalk analysis in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk-aware delay, correlation, process variations, variance, mean, statistical static timing analysis
9Martin V. Butz, Olivier Sigaud, Giovanni Pezzulo, Gianluca Baldassarre Anticipations, Brains, Individual and Social Behavior: An Introduction to Anticipatory Systems. Search on Bibsonomy SAB ABiALS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Wenxin Liu 0001, Jagannathan Sarangapani, Ganesh K. Venayagamoorthy, Donald C. Wunsch, David A. Cartes Neural Network based Decentralized Excitation Control of Large Scale Power Systems. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Naraig Manjikian, Cindy Mark, Mohanarajah Sinnathamby, James Reed, Huang Jin Enhancements and Applications of a Versatile Software Tool for High-Level Specification of Single-Chip Systems. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Risto J. Pirjola, David H. Boteler Geomagnetically Induced Currents in European High-Voltage Power Systems. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Alexander Sayenko, Olli Alanen, Olli Karppinen, Timo Hämäläinen 0002 Analysis and Simulation of the Signaling Protocols for the DiffServ Framework. Search on Bibsonomy NEW2AN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christoph Stahl, Jens Haupert Taking Location Modelling to New Levels: A Map Modelling Toolkit for Intelligent Environments. Search on Bibsonomy LoCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF geometric location modelling, intelligent environment, pedestrian navigation
9Vittorio Ferrari, Tinne Tuytelaars, Luc Van Gool Object Detection by Contour Segment Networks. Search on Bibsonomy ECCV (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kia Ng, Atta Badii, Maulik Sailor, Bee Ong, Royce J. Neagle, Garry Quested AXMEDIS Programme and Publication Tools Integration with Workflow-Enabled Communication and Process Control. Search on Bibsonomy AXMEDIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Sutanu Chakraborti, Robert Lothian, Nirmalie Wiratunga, Amandine Orecchioni, Stuart N. K. Watt Fast Case Retrieval Nets for Textual Data. Search on Bibsonomy ECCBR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tom Pfeifer, Hélène Haughney, Barry Downes UGetMobile End-user Mobile Publishing Platform. Search on Bibsonomy CEC/EEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mobile Commerce systems, Wireless service architecture, Mobile Portals, Mobile Workflow management
9Chun-Lung Hsu, Chang-Hsin Cheng, Yu-Sheng Huang, Chih-Jung Chen An Adaptive Low-Power Control Scheme for On-Chip Network Applications. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9W. H. Muthumala, Masanori Hariyama, Michitaka Kameyama GA-Based Assignment of Supply and Threshold Voltages and Interconnection Simplification for Low Power VLSI Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Julia Fix, Christian von Scheve, Daniel Moldt Emotion-based norm enforcement and maintenance in multi-agent systems: foundations and petri net modeling. Search on Bibsonomy AAMAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF socionics, MAS, emotions, social norms, petri net modeling
9Dongming Peng, Mi Lu On exploring inter-iteration parallelism within rate-balanced multirate multidimensional DSP algorithms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Falk Schreiber, Henning Schwöbbermeyer Frequency Concepts and Pattern Detection for the Analysis of Motifs in Networks. Search on Bibsonomy Trans. Comp. Sys. Biology The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9David Guevorkian, Aki Launiainen, Ville Lappalainen, Petri Liuha, Konsta Punkka A Method for Designing High-Radix Multiplier-Based Processing Units for Multimedia Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Julien Pouget, Erik Larsson, Zebo Peng Multiple-Constraint Driven System-on-Chip Test Time Optimization. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wrapper and TAM design, test scheduling, SOC testing, power constraint, multiple constraints
9Scott Borg Economically Complex Cyberattacks. Search on Bibsonomy IEEE Secur. Priv. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cyberdefense, security, cybersecurity, cyberattack
9Mike Thelwall Scientific web intelligence: finding relationships in university webs. Search on Bibsonomy Commun. ACM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Wen-June Wang, Wei-Wei Lin 0004 Decentralized PDC for large-scale T-S fuzzy systems. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Riadh Ben Halima, Mohamed Jmaiel, Khalil Drira Graphical simulation of the dynamic evolution of the software architectures specified in Z. Search on Bibsonomy IWPSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Alistair Barros, Egon Börger A Compositional Framework for Service Interaction Patterns and Interaction Flows. Search on Bibsonomy ICFEM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Chul Kim, A. M. Rassau, Mike Myung-Ok Lee 3D-SoftChip: a novel 3D vertically integrated adaptive computing system (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Andrea Lodi 0002, Luca Ciccarelli, Roberto Giansante Combining low-leakage techniques for FPGA routing design. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low leakage, FPGA, power
9Cristinel Ababei, Hushrav Mogal, Kia Bazargan 3D FPGAs: placement, routing, and architecture evaluation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mathrin Body, Bernard Cousin Efficient Media Asset Transfer in a Unified Framework Managing Broadcasting Systems. Search on Bibsonomy DFMA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Nicolas Genko, David Atienza, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida, Francky Catthoor A Complete Network-On-Chip Emulation Framework. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Reuven Karni, Maya Kaner Agile Knowledge-Based Decision Making with Application to Project Management. Search on Bibsonomy Wissensmanagement (LNCS Volume) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mehrdad Sabetzadeh, Steve M. Easterbrook An Algebraic Framework for Merging Incomplete and Inconsistent Views. Search on Bibsonomy RE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hwa-Young Jeong Priority Processing in the Web Service-Workflow Architecture. Search on Bibsonomy WAIM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jaydev Mishra, Pinakpani Pal, Aditya Bagchi SPEAR: Design of a Secured Peer-to-Peer Architecture . Search on Bibsonomy ICISS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Denis Trystram, Michael A. Bender, Uwe Schwiegelshohn, Luís Paulo Santos Topic 3 Scheduling and Load-Balancing. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Pavan Adharapurapu, Milos D. Ercegovac A Linear-System Operator Based Scheme for Evaluation of Multinomials. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Makoto Okada, Tatsuo Hiramatsu, Hiroshi Nakajima, Makoto Ozone, Katsunori Hirase, Shinji Kimura A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Qingdu Li, Xiao-Song Yang Complex Dynamics in a Simple Hopfield-Type Neural Network. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Lingyun Hu, Zengqi Sun A Robust Learning Algorithm for Feedforward Neural Networks with Adaptive Spline Activation Function. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Xin Jia, Ranga Vemuri The GAPLA: A Globally Asynchronous Locally Synchronous FPGA Architecture. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1324 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license