The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Moinuddin K. Qureshi CEASER: Mitigating Conflict-Based Cache Attacks via Encrypted-Address and Remapping. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Rui Zhang 0068, Calvin Deutschbein, Peng Huang 0005, Cynthia Sturton End-to-End Automated Exploit Generation for Validating the Security of Processor Designs. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Caroline Trippel, Daniel Lustig, Margaret Martonosi CheckMate: Automated Synthesis of Hardware Exploits and Security Litmus Tests. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Michael B. Sullivan 0001, Siva Kumar Sastry Hari, Brian Zimmer, Timothy Tsai 0002, Stephen W. Keckler SwapCodes: Error Codes for Hardware-Software Cooperative GPU Pipeline Error Detection. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Tao Chen 0045, Shreesha Srinath, Christopher Batten, G. Edward Suh An Architectural Framework for Accelerating Dynamic Parallel Algorithms on Reconfigurable Hardware. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Ananda Samajdar, Parth Mannan, Kartikay Garg, Tushar Krishna GeneSys: Enabling Continuous Learning through Neural Network Evolution in Hardware. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Srikant Bharadwaj, Guilherme Cox, Tushar Krishna, Abhishek Bhattacharjee Scalable Distributed Last-Level TLBs Using Low-Latency Interconnects. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yirong Lv, Bin Sun, Qingyi Luo, Jing Wang 0055, Zhibin Yu 0001, Xuehai Qian CounterMiner: Mining Big Performance Data from Hardware Counters. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Ben Lin, Michael B. Healy, Rustam Miftakhutdinov, Philip G. Emma, Yale N. Patt Duplicon Cache: Mitigating Off-Chip Memory Bank and Bank Group Conflicts Via Data Duplication. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yaohua Wang, Arash Tavakkol, Lois Orosa 0001, Saugata Ghose, Nika Mansouri-Ghiasi, Minesh Patel, Jeremie S. Kim, Hasan Hassan, Mohammad Sadrosadati, Onur Mutlu Reducing DRAM Latency via Charge-Level-Aware Look-Ahead Partial Restoration. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Young-Hwan Park, Amin Khajeh, Jun Yong Shin, Fadi J. Kurdahi, Ahmed M. Eltawil, Nikil D. Dutt Microarchitecture-Level SoC Design. Search on Bibsonomy Handbook of Hardware/Software Codesign The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jack Doweck, Wen-Fu Kao, Allen Kuan-yu Lu, Julius Mandelblat, Anirudha Rahatekar, Lihu Rappoport, Efraim Rotem, Ahmad Yasin, Adi Yoaz Inside 6th-Generation Intel Core: New Microarchitecture Code-Named Skylake. Search on Bibsonomy IEEE Micro The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Zohre Mohammadi-Arfa, Ali Jahanian 0001 DENA: A Configurable Microarchitecture and Design Flow for Biomedical DNA-Based Logic Design. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xiang Fu 0003, M. A. Rol, Cornelis Christiaan Bultink, J. van Someren 0001, Nader Khammassi, Imran Ashraf, R. F. L. Vermeulen, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, Carmen G. Almudéver, Leonardo DiCarlo, Koen Bertels An Experimental Microarchitecture for a Superconducting Quantum Processor. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Xiangyu Guo, Qi Chu 0003, Shin Kee Chung, Zhihui Du, LinQing Wen Acceleration of low-latency gravitational wave searches using Maxwell-microarchitecture GPUs. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Abdallah Cheikh, Gianmarco Cerutti, Antonio Mastrandrea, Francesco Menichelli, Mauro Olivieri The microarchitecture of a multi-threaded RISC-V compliant processing core family for IoT end-nodes. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Yangdong Deng, Yufei Ni, Zonghui Li, Shuai Mu 0002, Wenjun Zhang Toward Real-Time Ray Tracing: A Survey on Hardware Acceleration and Microarchitecture Techniques. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Athanasios Chatzidimitriou, Manolis Kaliorakis, Dimitris Gizopoulos, Maurizio Iacaruso, Mauro Pipponzi, Riccardo Mariani, Stefano Di Carlo RT Level vs. Microarchitecture-Level Reliability Assessment: Case Study on ARM(R) Cortex(R)-A9 CPU. Search on Bibsonomy DSN Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Botao Deng, Anas Z. Abidin, Adora M. D'Souza, Mahesh B. Nagarajan, Paola Coan, Axel Wismüller Characterizing cartilage microarchitecture on phase-contrast x-ray computed tomography using deep learning with convolutional neural networks. Search on Bibsonomy Medical Imaging: Computer-Aided Diagnosis The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hillery C. Hunter, Jaime Moreno 0002, Joel S. Emer, Daniel Sánchez 0003 (eds.) Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2017, Cambridge, MA, USA, October 14-18, 2017 Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Alexander Antonov, Pavel Kustarev, Sergey Bikovsky Improving microarchitecture design and hardware generation using micro-language IP cores. Search on Bibsonomy NORCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Alessandro Vallero, Stefano Di Carlo, Sotiris Tselonis, Dimitris Gizopoulos Microarchitecture level reliability comparison of modern GPU designs: First findings. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yi Dai, Kefei Wang, Gang Qu 0001, Liquan Xiao, Dezun Dong, Xingyun Qi A Scalable and Resilient Microarchitecture Based on Multiport Binding for High-Radix Router Design. Search on Bibsonomy IPDPS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Abdallah Cheikh, Gianmarco Cerutti, Antonio Mastrandrea, Francesco Menichelli, Mauro Olivieri The Microarchitecture of a Multi-threaded RISC-V Compliant Processing Core Family for IoT End-Nodes. Search on Bibsonomy ApplePies The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xiuxia Zhang, Guangming Tan, Shuangbai Xue, Jiajia Li 0001, Keren Zhou 0001, Mingyu Chen 0001 Understanding the GPU Microarchitecture to Achieve Bare-Metal Performance Tuning. Search on Bibsonomy PPoPP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Manolis Kaliorakis, Dimitris Gizopoulos, Ramon Canal, Antonio González 0001 MeRLiN: Exploiting Dynamic Instruction Behavior for Fast and Accurate Microarchitecture Level Reliability Assessment. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Andrea Mondelli Revisiting Wide Superscalar Microarchitecture. Search on Bibsonomy 2017   RDF
17Ya-Shuai Lü, Libo Huang, Li Shen 0007, Zhiying Wang 0003 Unleashing the power of GPU for physically-based rendering via dynamic ray shuffling. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mostafa Mahmoud, Bojian Zheng, Alberto Delmas Lascorz, Felix Heide, Jonathan Assouline, Paul Boucher, Emmanuel Onzon, Andreas Moshovos IDEAL: image denoising accelerator. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Swamit S. Tannu, Zachary A. Myers, Prashant J. Nair, Douglas M. Carmean, Moinuddin K. Qureshi Taming the instruction bandwidth of quantum computers via hardware-managed error correction. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Md. Enamul Haque, Yuxiong He, Sameh Elnikety, Thu D. Nguyen, Ricardo Bianchini, Kathryn S. McKinley Exploiting heterogeneity for tail latency and energy efficiency. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Zhaoxia Deng, Lunkai Zhang, Nikita Mishra, Henry Hoffmann, Frederic T. Chong Memory cocktail therapy: a general learning-based framework to optimize dynamic tradeoffs in NVMs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mike O'Connor, Niladrish Chatterjee, Donghyuk Lee, John M. Wilson 0002, Aditya Agrawal, Stephen W. Keckler, William J. Dally Fine-grained DRAM: energy-efficient DRAM for extreme bandwidth systems. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17George Papadimitriou 0001, Manolis Kaliorakis, Athanasios Chatzidimitriou, Dimitris Gizopoulos, Peter Lawthers, Shidhartha Das Harnessing voltage margins for energy efficiency in multicore CPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Sandeep R. Agrawal, Sam Idicula, Arun Raghavan, Evangelos Vlachos, Venkatraman Govindaraju, Venkatanathan Varadarajan, Cagri Balkesen, Georgios Giannikis, Charlie Roth, Nipun Agarwal, Eric Sedlar A many-core architecture for in-memory data processing. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xulong Tang, Orhan Kislal, Mahmut T. Kandemir, Mustafa Karaköy Data movement aware computation partitioning. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Vivek Seshadri, Donghyuk Lee, Thomas Mullins, Hasan Hassan, Amirali Boroumand, Jeremie S. Kim, Michael A. Kozuch, Onur Mutlu, Phillip B. Gibbons, Todd C. Mowry Ambit: in-memory accelerator for bulk bitwise operations using commodity DRAM technology. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Daphne I. Gorman, Matthew R. Guthaus, Jose Renau Architectural opportunities for novel dynamic EMI shifting (DEMIS). Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ugljesa Milic, Oreste Villa, Evgeny Bolotin, Akhil Arunkumar, Eiman Ebrahimi, Aamer Jaleel, Alex Ramírez, David W. Nellans Beyond the socket: NUMA-aware GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jongse Park, Hardik Sharma, Divya Mahajan 0001, Joon Kyung Kim, Preston Olds, Hadi Esmaeilzadeh Scale-out acceleration for machine learning. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hoda Naghibijouybari, Khaled N. Khasawneh, Nael B. Abu-Ghazaleh Constructing and characterizing covert channels on GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Youngsok Kim, Jae-Eon Jo, Hanhwi Jang, Minsoo Rhu, Hanjun Kim 0001, Jangwoo Kim GPUpd: a fast and scalable multi-GPU architecture using cooperative projection and distribution. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Pedro Duarte, Pedro Tomás, Gabriel Falcão 0001 SCRATCH: an end-to-end application-aware soft-GPGPU architecture and trimming tool. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Kaisheng Ma, Xueqing Li, Jinyang Li 0002, Yongpan Liu, Yuan Xie 0001, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan Incidental computing on IoT nonvolatile processors. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Caiwen Ding, Siyu Liao, Yanzhi Wang, Zhe Li 0001, Ning Liu 0007, Youwei Zhuo, Chao Wang 0051, Xuehai Qian, Yu Bai 0004, Geng Yuan, Xiaolong Ma, Yipeng Zhang, Jian Tang 0008, Qinru Qiu, Xue Lin, Bo Yuan 0001 CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jorge Albericio, Alberto Delmas, Patrick Judd, Sayeh Sharify, Gerard O'Leary, Roman Genov, Andreas Moshovos Bit-pragmatic deep neural network computing. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Daniel A. Jiménez, Elvira Teran Multiperspective reuse prediction. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Shuangchen Li, Dimin Niu, Krishna T. Malladi, Hongzhong Zheng, Bob Brennan, Yuan Xie 0001 DRISA: a DRAM-based reconfigurable in-situ accelerator. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Khaled N. Khasawneh, Nael B. Abu-Ghazaleh, Dmitry Ponomarev 0001, Lei Yu 0001 RHMD: evasion-resilient hardware malware detectors. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yuanfeng Peng, Benjamin P. Wood, Joseph Devietti PARSNIP: performant architecture for race safety with no impact on precision. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Parker Hill, Animesh Jain, Mason Hill, Babak Zamirai, Chang-Hong Hsu, Michael A. Laurenzano, Scott A. Mahlke, Lingjia Tang, Jason Mars DeftNN: addressing bottlenecks for DNN execution on GPUs via synapse vector elimination and near-compute data fission. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Prathmesh Kallurkar, Smruti R. Sarangi Schedtask: a hardware-assisted task scheduler. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yuanwei Fang, Chen Zou 0001, Aaron J. Elmore, Andrew A. Chien UDP: a programmable accelerator for extract-transform-load workloads and more. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17John Kloosterman, Jonathan Beaumont, Davoud Anoushe Jamshidi, Jonathan Bailey, Trevor N. Mudge, Scott A. Mahlke Regless: just-in-time operand staging for GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Weilong Cui, Timothy Sherwood Estimating and understanding architectural risk. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17AmirAli Abdolrashidi, Devashree Tripathy, Mehmet Esat Belviranli, Laxmi Narayan Bhuyan, Daniel Wong 0001 Wireframe: supporting data-dependent parallelism through dependency graph execution in GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Seunghee Shin, Satish Kumar Tirukkovalluri, James Tuck 0001, Yan Solihin Proteus: a flexible and fast software supported hardware logging approach for NVM. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Christian DeLozier, Ariel Eizenberg, Shiliang Hu, Gilles Pokam, Joseph Devietti TMI: thread memory isolation for false sharing repair. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Gunjae Koo, Kiran Kumar Matam, Te I, H. V. Krishna Giri Narra, Jing Li 0021, Hung-Wei Tseng 0001, Steven Swanson, Murali Annavaram Summarizer: trading communication with computing near storage. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Samira Manabi Khan, Chris Wilkerson, Zhe Wang 0023, Alaa R. Alameldeen, Donghyuk Lee, Onur Mutlu Detecting and mitigating data-dependent DRAM failures by exploiting current memory content. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Shruti Padmanabha, Andrew Lukefahr, Reetuparna Das, Scott A. Mahlke Mirage cores: the illusion of many out-of-order cores using in-order hardware. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Dimitrios Skarlatos 0002, Nam Sung Kim, Josep Torrellas Pageforge: a near-memory content-aware page-merging architecture. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ji Kim, Shunning Jiang, Christopher Torng, Moyang Wang, Shreesha Srinath, Berkin Ilbeyi, Khalid Al-Hawaj, Christopher Batten Using intra-core loop-task accelerators to improve the productivity and performance of task-based parallel programs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Joonmoo Huh, James Tuck 0001 Improving the effectiveness of searching for isomorphic chains in superword level parallelism. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Haibo Zhang 0005, Prasanna Venkatesh Rengasamy, Shulin Zhao 0001, Nachiappan Chidambaram Nachiappan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravi R. Iyer 0001, Chita R. Das Race-to-sleep + content caching + display caching: a recipe for energy-efficient video streaming on handhelds. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Onur Mutlu, Srinivas Devadas Banshee: bandwidth-efficient DRAM caching via software/hardware cooperation. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ali JavadiAbhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong Optimized surface code communication in superconducting quantum computers. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Rachata Ausavarungnirun, Joshua Landgraf, Vance Miller, Saugata Ghose, Jayneel Gandhi, Christopher J. Rossbach, Onur Mutlu Mosaic: a GPU memory manager with application-transparent support for multiple page sizes. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ang Li 0006, Wenfeng Zhao, Shuaiwen Leon Song BVF: enabling significant on-chip power savings via bit-value-favor for throughput processors. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ivan Tanasic, Isaac Gelado, Marc Jordà, Eduard Ayguadé, Nacho Navarro Efficient exception handling support for GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Reza Yazdani, José-María Arnau, Antonio González 0001 UNFOLD: a memory-efficient speech recognizer using on-the-fly WFST composition. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Guoyang Chen, Lei Zhang, Richa Budhiraja, Xipeng Shen, Youfeng Wu Efficient support of position independence on non-volatile memory. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar 0002, John Sartori Software-based gate-level information flow security for IoT systems. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, Michael Pellauer RTLcheck: verifying the memory consistency of RTL designs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Zecheng He, Ruby B. Lee How secure is your cache against side-channel attacks? Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yashwant Marathe, Nagendra Gulur, Jee Ho Ryoo, Shuang Song 0007, Lizy K. John CSALT: context switch aware large TLB. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Tiancong Wang, Sakthikumaran Sambasivam, Yan Solihin, James Tuck 0001 Hardware supported persistent object address translation. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yipeng Huang 0001, Ning Guo, Mingoo Seok, Yannis P. Tsividis, Kyle T. Mandli, Simha Sethumadhavan Hybrid analog-digital solution of nonlinear partial differential equations. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Thomas J. Repetti, João Pedro Cerqueira, Martha A. Kim, Mingoo Seok Pipelining a triggered processing element. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Rami Sheikh, Harold W. Cain, Raguram Damodaran Load value prediction via path-based address prediction: avoiding mispredictions due to conflicting stores. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Aditya Agrawal, Josep Torrellas, Sachin Idgunji Xylem: enhancing vertical thermal conduction in 3D processor-memory stacks. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Zhen Zheng, Chanyoung Oh, Jidong Zhai, Xipeng Shen, Youngmin Yi, Wenguang Chen Versapipe: a versatile programming framework for pipelined computing on GPU. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ting-Jung Chang, Zhuozhi Yao, Paul J. Jackson, Barry P. Rand, David Wentzlaff Architectural tradeoffs for biodegradable computing. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Abhishek Bhattacharjee Using branch predictors to predict brain activity in brain-machine implants. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Opeoluwa Matthews, Daniel J. Sorin Architecting hierarchical coherence protocols for push-button parametric verification. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Arun Subramaniyan 0001, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das Cache automaton. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Bharat Sukhwani, Thomas Roewer, Charles L. Haymes, Kyu-Hyoun Kim, Adam J. McPadden, Daniel M. Dreps, Dean Sanner, Jan van Lunteren, Sameh W. Asaad Contutto: a novel FPGA-based prototyping platform enabling innovation in the memory subsystem of a server class processor. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Henry Wong, Vaughn Betz, Jonathan Rose Microarchitecture and Circuits for a 200 MHz Out-of-Order Soft Processor Memory System. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yumin Hou, Hu He 0001, Xu Yang 0003, Deyuan Guo, Xu Wang, Jiawei Fu, Keni Qiu FuMicro: A Fused Microarchitecture Design Integrating In-Order Superscalar and VLIW. Search on Bibsonomy VLSI Design The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Lawrence T. Clark, Dan W. Patterson, Chandarasekaran Ramamurthy, Keith E. Holbert An Embedded Microprocessor Radiation Hardened by Microarchitecture and Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jason Cong, Peng Li 0031, Bingjun Xiao, Peng Zhang 0007 An Optimal Microarchitecture for Stencil Computation Acceleration Based on Nonuniform Partitioning of Data Reuse Buffers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Myoungsoo Jung, Wonil Choi, Shuwen Gao, Ellis Herbert Wilson III, David Donofrio, John Shalf, Mahmut Taylan Kandemir NANDFlashSim: High-Fidelity, Microarchitecture-Aware NAND Flash Memory Simulation. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ittai Anati, David Blythe, Jack Doweck, Hong Jiang, Wen-Fu Kao, Julius Mandelblat, Lihu Rappoport, Efraim Rotem, Ahmad Yasin Inside 6th gen Intel® Core™: New microarchitecture code named skylake. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17 49th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2016, Taipei, Taiwan, October 15-19, 2016 Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  BibTeX  RDF
17Sina Hassani, Gabriel Southern, Jose Renau LiveSim: Going live with microarchitecture simulation. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Johannes Hofmann 0001, Dietmar Fey, Jan Eitzinger, Georg Hager, Gerhard Wellein Analysis of Intel's Haswell Microarchitecture Using the ECM Model and Microbenchmarks. Search on Bibsonomy ARCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Athanasios Chatzidimitriou, Dimitris Gizopoulos Anatomy of microarchitecture-level reliability assessment: Throughput and accuracy. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Shao-Chung Wang, Li-Chen Kan, Yuan-Shin Hwang, Jenq Kuen Lee Energy Efficient Affine Register File for GPU Microarchitecture. Search on Bibsonomy ICPP Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Sotiris Tselonis, Manolis Kaliorakis, Nikos Foutris, George Papadimitriou 0001, Dimitris Gizopoulos Microprocessor reliability-performance tradeoffs assessment at the microarchitecture level. Search on Bibsonomy VTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Cunlu Li, Dezun Dong, Xiangke Liao, Ji Wu 0006, Fei Lei RoB-Router: Low Latency Network-on-Chip Router Microarchitecture Using Reorder Buffer. Search on Bibsonomy Hot Interconnects The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2639 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license