The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transient with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1964 (15) 1966-1973 (17) 1974-1977 (16) 1978-1981 (18) 1982-1983 (20) 1984 (16) 1985-1986 (25) 1987 (21) 1988 (34) 1989 (29) 1990 (38) 1991 (39) 1992 (52) 1993 (69) 1994 (87) 1995 (89) 1996 (62) 1997 (78) 1998 (82) 1999 (115) 2000 (160) 2001 (160) 2002 (233) 2003 (288) 2004 (282) 2005 (354) 2006 (473) 2007 (464) 2008 (441) 2009 (284) 2010 (213) 2011 (232) 2012 (235) 2013 (260) 2014 (273) 2015 (262) 2016 (283) 2017 (321) 2018 (327) 2019 (407) 2020 (412) 2021 (454) 2022 (450) 2023 (431) 2024 (109)
Publication types (Num. hits)
article(4247) book(3) data(5) incollection(16) inproceedings(4377) phdthesis(82)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2934 occurrences of 1651 keywords

Results
Found 8730 publication records. Showing 8730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Gerard Villar, Eduard Alarcón, Francesc Guinjoan, Alberto Poveda Efficiency-oriented switching frequency tuning for a buck switching power converter. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Michael Walter Payton, Fat Duen Ho A physically-derived large-signal nonquasi-static MOSFET model for computer aided device and circuit simulation PART-I MOSFETs and CMOS inverters. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee, Cecilia Metra Load and Logic Co-Optimization for Design of Soft-Error Resistant Nanometer CMOS Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Kristina Forsberg, Simin Nadjm-Tehrani, Jan Torin Fault Analysis of a Distributed Flight Control System. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Sang-Moon Ryu, Dong-Jo Park Checkpointing for the Reliability of Real-Time Systems with On-Line Fault Detection. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Paolo Bernardi, Letícia Maria Veiras Bolzani, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante An Integrated Approach for Increasing the Soft-Error Detection Capabilities in SoCs processors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Laurent Daudet A Review on Techniques for the Extraction of Transients in Musical Signals. Search on Bibsonomy CMMR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Heng Liu 0006, Julian F. Miller, Andy M. Tyrrell Intrinsic Evolvable Hardware Implementation of a Robust Biological Development Model for Digital Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Andrew Boyer, Bernd Brügge, Daniel P. Siewiorek Evaluating E-Commerce Cluster Architectures Using Simulation. Search on Bibsonomy CEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11George A. Reis, Jonathan Chang, Neil Vachharajani, Ram Rangan, David I. August, Shubhendu S. Mukherjee Design and Evaluation of Hybrid Fault-Detection Systems. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Sorin Manolache, Petru Eles, Zebo Peng Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Kristina Lundqvist, Jayakanth Srinivasan, Sébastien Gorelov Non-intrusive System Level Fault-Tolerance. Search on Bibsonomy Ada-Europe The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Wern-Ho Sheen, Ming-Jou Chang, Cheng-Shong Wu Performance analysis of noncoherent digital delay locked loops for direct sequence spread spectrum systems with Doppler shift and quantized adaptation. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Magdy A. El-Moursy, Eby G. Friedman Power characteristics of inductive interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Quan-Lin Li, Liming Liu An Algorithmic Approach for Sensitivity Analysis of Perturbed Quasi-Birth-and-Death Processes. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF QBD process, perturbed Markov chain, RG-factorization, stochastic integral functional, phase type (PH) distribution, sensitivity analysis, Markovian arrival process (MAP), perturbation analysis
11Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras Implementing branch-predictor decay using quasi-static memory cells. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Energy aware computing
11Qiang Chen, Oliver W. W. Yang On designing self-tuning controllers for AQM routers supporting TCP flows based on pole placement. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Fernanda Lima Kastensmidt, Gustavo Neuberger, Renato Fernandes Hentschke, Luigi Carro, Ricardo Reis 0001 Designing Fault-Tolerant Techniques for SRAM-Based FPGAs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11A. J. KleinOsowski, Kevin KleinOsowski, Vijay Rangarajan, Priyadarshini Ranganath, David J. Lilja The Recursive NanoBox Processor Grid: A Reliable System Architecture for Unreliable Nanotechnology Devices. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF architecture, VLSI, fault-injection, nanotechnology, fault-masking
11Dong Tang, Kishor S. Trivedi Hierarchical Computation of Interval Availability and Related Metrics. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Serge Haddad, Patrice Moreaux Approximate Analysis of Non-Markovian Stochastic Systems with Multiple Time Scale Delays. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jeremy T. Bradley, William J. Knottenbelt The ipc/HYDRA Tool Chain for the Analysis of PEPA Models. Search on Bibsonomy QEST The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Zhong Wang, Jianwen Zhu Piecewise quadratic waveform matching with successive chord iteration. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Matthew A. Swabey, Stephen P. Beeby, Andrew D. Brown, John E. Chad Using Otoacoustic Emissions as a Biometric. Search on Bibsonomy ICBA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Takayuki Nishi, Hironobu Fujiyoshi Object-Based Video Coding Using Pixel State Analysis. Search on Bibsonomy ICPR (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Bernhard Fechner, Jörg Keller 0001 A Fault-Tolerant Voting Scheme for Multithreaded Environments. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Dongwook Lee, JongWon Kim 0001 TCP Performance Enhancement Incorporating Handoff Analysis in Mobile IPv6 Networks. Search on Bibsonomy HSNMC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yixin Diao, Joseph L. Hellerstein, Adam J. Storm, Maheswaran Surendra, Sam Lightstone, Sujay S. Parekh, Christian Garcia-Arellano Incorporating Cost of Control into the Design of a Load Balancing Controller. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Dan Pei, Xiaoliang Zhao, Daniel Massey, Lixia Zhang 0001 A Study of BGP Path Vector Route Looping Behavior. Search on Bibsonomy ICDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ruijun Zhu, Haitao Teng, Weili Hu A Predictive Controller for AQM Router Supporting TCP with ECN. Search on Bibsonomy AWCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Fevzullah Temurtas, Cihat Tasaltin, Hasan Temurtas, Nejat Yumusak, Zafer Ziya Öztürk A Study on Neural Networks with Tapped Time Delays: Gas Concentration Estimation. Search on Bibsonomy ICCSA (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Stas Polonsky, Keith A. Jenkins, Alan J. Weger, Shinho Cho CMOS IC diagnostics using the luminescence of OFF-state leakage currents. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11George Kola, Tevfik Kosar, Miron Livny Phoenix: Making Data-Intensive Grid Applications Fault-Tolerant. Search on Bibsonomy GRID The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11N. Venkateswaran 0002, Krishna Bharath Frequency Domain Testing of General Purpose Processors at the Instruction Execution Level. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Vladimir Brik, Jesse Stroik, Suman Banerjee 0001 Debugging DHCP performance. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, measurement, tools, experimentation, DHCP
11ElSayed M. ElBeheiry, Hoda A. ElMaraghy Robotic Manipulators State Observation Via One-Time Gain Switching. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF switching-gain observer, linearized error dynamics, variable structure systems theory, Lyapunov-based techniques, flexible-joint manipulators
11Giulio Antonini Fast Multipole Method for Time Domain PEEC Analysis. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF PEEC analysis, Numerical methods, equivalent circuits, Fast Multipole Method
11Juan A. Carrasco Solving dependability/performability irreducible Markov models using regenerative randomization. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Ting-Yuan Wang, Charlie Chung-Ping Chen Thermal-ADI - a linear-time chip-level dynamic thermal-simulation algorithm based on alternating-direction-implicit (ADI) method. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Anjlica Malla, Mona El-Kadi, Stephan Olariu, Petia Todorova A Fair Resource Allocation Protocol for Multimedia Wireless Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cellular networks, bandwidth allocation, multimedia traffic, Max-min fairness, QoS provisioning, reservation schemes
11Régis Leveugle, K. Hadjiat Multi-Level Fault Injections in VHDL Descriptions: Alternative Approaches and Experiments. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VHDL, fault injection, VLSI design, dependability analysis, digital circuits
11Parag K. Lala, B. Kiran Kumar An FPGA architecture with built-in error correction capability. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Zdenek Pohl, Rudolf Matousek, Jiri Kadlec, Milan Tichý, Miroslav Lícko Lattice adaptive filter implementation for FPGA. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis Reducing pin and area overhead in fault-tolerant FPGA-based designs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
11Zhong Wang, Jianwen Zhu Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11George Candea, Emre Kiciman, Steve Zhang, Pedram Keyani, Armando Fox JAGR: An Autonomous Self-Recovering Application Server. Search on Bibsonomy Active Middleware Services The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Falko Bause, Mirko Eickhoff Simulation output analysis: truncation point estimation using multiple replications in parallel. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Hiroyuki Suzuki, Tadashi Dohi, Naoto Kaio, Kishor S. Trivedi Maximizing Interval Reliability in Operational Software System with Rejuvenation. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jia Lu, Yunxia Hu Application of Fuzzy Logic Controllers for Laser Tracking with Autonomous Robot System. Search on Bibsonomy WILF The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Payman Zarkesh-Ha, Ken Doniger, William Loh, Dechang Sun, Rick Stephani, Gordon Priebe A Compact Model for Analysis and Design of On-chip Power Network with Decoupling Capacitors. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11David B. H. Tay Audio signal processing via harmonic separation using variable Laguerre filters. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Magdy A. El-Moursy, Eby G. Friedman Inductive interconnect width optimization for low power. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Ljupco Kocarev, Zarko Tasev, Gian Mario Maggio Applications of nonlinear dynamics to the turbo decoding algorithm. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Mummadi Veerachary V2 control of interleaved buck converters. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Seiji Kameda, Tetsuya Yagi A silicon retina system that calculates direction of motion. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Joakim Aidemark, Peter Folkesson, Johan Karlsson On the Probability of Detecting Data Errors Generated by Permanent Faults Using Time Redundancy. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Di-Fa Chang, Ramesh Govindan, John S. Heidemann The Temporal and Topological Characteristics of BGP Path Changes. Search on Bibsonomy ICNP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Xiaoning Qi, Goetz Leonhardt, Daniel Flees, Xiaodong Yang, Sangwoo Kim, Stephan Mueller, Hendrik T. Mau, Lawrence T. Pileggi A fast simulation approach for inductive effects of VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF inductance, circuit simulation, VLSI interconnects
11Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis Designing fault tolerant systems into SRAM-based FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
11Ramesh Karri, Kaijie Wu 0001 Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Juan A. Carrasco Computationally Efficient and Numerically Stable Reliability Bounds for Repairable Fault-Tolerant Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF continuous time Markov models, reliability, randomization, bounds, fault-tolerant systems, repairable systems
11Mona El-Kadi, Stephan Olariu, Hussein M. Abdel-Wahab A Rate-Based Borrowing Scheme for QoS Provisioning in Multimedia Wireless Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hand-off management, rate-based fairness, admission control, cellular networks, Bandwidth allocation, multimedia traffic, QoS provisioning, reservation schemes
11Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim Generalized traveling-wave-based waveform approximation technique for the efficient signal integrity verification of multicoupled transmission line system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Mehmet Hakan Karaata, Pranay Chaudhuri A Dynamic Self-Stabilizing Algorithm for Constructing a Transport Net. Search on Bibsonomy Computing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF AMS Subject Classifications: 68M14, 68Q25, 68W15
11Renato Lo Cigno, Gregorio Procissi, Mario Gerla Sender-Side TCP Modifications: An Analytical Study. Search on Bibsonomy NETWORKING The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Yu Chen, Ajoy Kumar Datta, Sébastien Tixeuil Stabilizing Inter-domain Routing in the Internet (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF routing instability, routing, self-stabilization, Border Gateway Protocol
11Ajoy Kumar Datta, Maria Gradinariu, Anthony B. Kenitzki, Sébastien Tixeuil Self-Stabilizing Wormhole Routing on Ring Networks. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault-tolerance, Distributed Algorithms, Self-stabilization, Wormhole routing
11Pau Martí, Josep M. Fuertes, Gerhard Fohler, Krithi Ramamritham Improving Quality-of-Control Using Flexible Timing Constraints: Metric and Scheduling Issues. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Sandeep Dhar, Dragan Maksimovic, Bruno Kranzen Closed-loop adaptive voltage scaling controller for standard-cell ASICs. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay-line, variable-voltage, low-power, energy-efficient, design methodology, circuit design, standard-cell, DC-DC converter
11Vladimir Zadorozhny, Louiqa Raschid Query Optimization to Meet Performance Targets for Wide Area Applications. Search on Bibsonomy ICDCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Anzhela Yu. Matrosova, Valentina Andreeva, Yu. Sedov Survivable Discrete Circuits Design. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Dick Mays, Richard J. LeBlanc The cyclefree methodology: a simple approach to building reliable, robust, real-time systems. Search on Bibsonomy ICSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Jeffrey Tang, Angelos Bilas Tolerating Network Failures in System Area Networks. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Antonio M. Campos, Daniel F. García A Dynamic Scheduling Algorithm for Real-Time Expert Systems. Search on Bibsonomy IBERAMIA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Piet Vanassche, Georges G. E. Gielen, Willy M. C. Sansen Behavioral modeling of (coupled) harmonic oscillators. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coupled harmonic oscillators, behavioral modeling, averaging, perturbation theory
11K. Suzanne Barber, Joonoo Kim Soft Security: Isolating Unreliable Agents from Society. Search on Bibsonomy Trust, Reputation, and Security The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Mayukh Bhattacharya, Pinaki Mazumder Augmentation of SPICE for simulation of circuits containingresonant tunneling diodes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Tom J. Smy, David J. Walkey, Steven K. Dew A 3D thermal simulation tool for integrated devices-Atar. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Minkyong Kim, Brian D. Noble Mobile network estimation. Search on Bibsonomy MobiCom The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mobile network estimation, filtering, adaptive systems
11Boris Koldehofe, Philippas Tsigas Using actors in an interactive animation in a graduate course on distributed system. Search on Bibsonomy ITiCSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Ting-Yuan Wang, Charlie Chung-Ping Chen Thermal-ADI: a linear-time chip-level dynamic thermal simulation algorithm based on alternating-direction-implicit (ADI) method. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Joe Chun-Hung Yuen, Kam-yiu Lam, Edward Chan Adaptive Buffer Sensitive Scheduling for Transmitting Video Streams in a Mobile Multimedia System. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Joaquin Gracia, Juan Carlos Baraza, Daniel Gil, Pedro J. Gil Comparison and Application of Different VHDL-Based Fault Injection Techniques. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VHDL-Based Fault Injection, Fault Tolerant Validation
11Nahmsuk Oh, Edward J. McCluskey Procedure Call Duplication: Minimization of Energy Consumption with Constrained Error Detection Latency. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software error detection, low power technique, low energy technique, procedure duplication and instruction duplication, Fault tolerance
11Xiaoyun Sun, Bapiraju Vinnakota Current Measurement for Dynamic Idd Test. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Anjlica Malla, Mona El-Kadi, Petia Todorova A Fair Resource Allocation Protocol for Multimedia Wireless Networks. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cellular networks, bandwidth allocation, multimedia traffic, Max-min fairness, QoS provisioning, reservation schemes
11A. Al-Khudair, W. A. Gray, John C. Miles Dynamic Evolution and Consistency of Collaborative Configurations in Object-Oriented Databases. Search on Bibsonomy TOOLS (39) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante, Marco Torchiano A Source-to-Source Compiler for Generating Dependable Software. Search on Bibsonomy SCAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11J. Briaire, K. S. Krisch Principles of substrate crosstalk generation in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Jennifer Y. Dong, Ajoy Opal Time-domain thermal noise simulation of switched capacitor circuitsand delta-sigma modulators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Faisal Rashid, Kewal K. Saluja, Parameswaran Ramanathan Fault Tolerance through Re-Execution in Multiscalar Architecture. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Multiscalar architecture, Re-execution, Static configuration, Fault-tolerance, Dynamic configuration, Time redundancy
11Algirdas Avizienis A Fault Tolerance Infrastructure for Dependable Computing with High-Performance COTS Components. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11William J. Stewart 0001 Numerical Analysis Methods. Search on Bibsonomy Performance Evaluation The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Boudewijn R. Haverkort Markovian Models for Performance and Dependability Evaluation. Search on Bibsonomy European Educational Forum: School on Formal Methods and Performance Analysis The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Kishor S. Trivedi Proactive Fault-Management in Software System. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Qingping Guo, Yakup Paker, Shesheng Zhang, Dennis Parkinson, Jialin Wei Parallel Multi-grid Algorithm with Virtual Boundary Forecast Domain Decomposition Method for Solving Non-linear Heat Transfer Equation. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11José R. Martí, Jorge A. Hollman, Jesús Calviño-Fraga Implementation of a Real-Time Distributed Network Simulator with PC-Cluster. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Maurizio Rebaudengo, Matteo Sonza Reorda, Marco Torchiano, Massimo Violante An Experimental Evaluation of the Effectiveness of Automatic Rule-Based Transformations for Safety-Critical Applications. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Parag K. Lala, Alvernon Walker An On-Line Reconfigurable FPGA Architecture. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Qingjian Yu, Janet Meiling Wang, Ernest S. Kuh Passive model order reduction algorithm based on Chebyshev expansion of impulse response of interconnect networks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 8730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license