|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 62 occurrences of 57 keywords
|
|
|
Results
Found 41 publication records. Showing 41 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
78 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 506-511, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
58 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 839-844, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Kevin Skadron, Douglas W. Clark |
Design Issues and Tradeoffs for Write Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 144-155, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
38 | Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell |
The Rio File Cache: Surviving Operating System Crashes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 74-83, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
36 | Jianwei Dai, Lei Wang 0003 |
Way-tagged cache: an energy-efficient L2 cache architecture under write-through policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 159-164, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low-power technique, way-tag array, cache |
30 | Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai |
An Automatic Cache Generator Based on Content-Addressable Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1313-1316, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Tei-Wei Kuo, Yuan-Ting Kao, Chin-Fu Kuo |
Two-Version Based Concurrency Control and Recovery in Real-Time Client/Server Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(4), pp. 506-524, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
client/server databases, write through procedure, concurrency control, recovery, logging, Real-time database, read-only transactions |
28 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(3), pp. 244-273, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
28 | Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu |
Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(8), pp. 1143-1153, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse |
27 | Linjun Mei, Dan Feng 0001, Jianxi Chen, Lingfang Zeng, Jingning Liu |
A Write-Through Cache Method to Improve Small Write Performance of SSD-Based RAID. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: 2017 International Conference on Networking, Architecture, and Storage, NAS 2017, Shenzhen, China, August 7-9, 2017, pp. 1-6, 2017, IEEE Computer Society, 978-1-5386-3486-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Wee Teck Ng, Peter M. Chen |
The Systematic Improvement of Fault Tolerance in the Rio File Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-29, The Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing, Madison, Wisconsin, USA, June 15-18, 1999, pp. 76-83, 1999, IEEE Computer Society, 0-7695-0213-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
write-back file cache, reliable memory, software fault injection |
21 | Jongmin Lee 0002, Soontae Kim |
An energy-delay efficient 2-level data cache architecture for embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 343-346, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
2-level data cache, early cache hit predictor, one-way write |
21 | Alessandro Di Marco |
Exploiting Commodity Hard-Disk Geometry to Efficiently Preserve Data Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EPEW ![In: Formal Methods and Stochastic Models for Performance Evaluation, Fourth European Performance Engineering Workshop, EPEW 2007, Berlin, Germany, September 27-28, 2007, Proceedings, pp. 260-274, 2007, Springer, 978-3-540-75210-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
rotational latency reduction, disk characterization, performance, data layout, measurement techniques, disk drives |
20 | Wei Zhang 0002 |
Computing Cache Vulnerability to Transient Errors and Its Implication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 3-5 October 2005, Monterey, CA, USA, pp. 427-435, 2005, IEEE Computer Society, 0-7695-2464-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Wee Teck Ng, Peter M. Chen |
The Design and Verification of the Rio File Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(4), pp. 322-337, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
reliable main memory, File systems, software fault injection |
20 | David A. Koufaty, Josep Torrellas |
Compiler Support for Data Forwarding in Scalable Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 181-191, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
cache hierarchy performance, compiler algorithms to hide memory latency, shared-memory multiprocessors, cache coherence protocols, data forwarding |
15 | Pierre Guironnet de Massas, Frédéric Pétrot |
Comparison of memory write policies for NoC based Multicore Cache Coherent Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 997-1002, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Jerry Hom, Ulrich Kremer |
Execution context optimization for disk energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 255-264, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
synchronization, user study, multiprogramming, runtime adaptation |
15 | Jaehyung Kim, Hongchan Roh, Sanghyun Park 0003 |
Selective I/O Bypass and Load Balancing Method for Write-Through SSD Caching in Big Data Analytics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 67(4), pp. 589-595, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Hao Liu, Clement Devigne, Lucas Garcia, Quentin L. Meunier, Franck Wajsbürt, Alain Greiner |
RWT: Suppressing Write-Through Cost When Coherence is Not Needed. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2015 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2015, Montpellier, France, July 8-10, 2015, pp. 434-439, 2015, IEEE Computer Society, 978-1-4799-8719-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Jianwei Dai, Lei Wang 0003 |
An Energy-Efficient L2 Cache Architecture Using Way Tag Information Under Write-Through Policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(1), pp. 102-112, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Yuan Lin Yeoh, Bo Wang 0020, Xiangyao Yu, Tony T. Kim |
A 0.4V 7T SRAM with write through virtual ground and ultra-fine grain power gating switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013, pp. 3030-3033, 2013, IEEE, 978-1-4673-5760-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Geewhun Seok, Hong Kim, Baker Mohammad |
Write-through method for embedded memory with compression Scan-based testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 30th IEEE VLSI Test Symposium, VTS 2012, Maui, Hawaii, USA, 23-26 April 2012, pp. 158-163, 2012, IEEE Computer Society, 978-1-4673-1074-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Ana Bosque, Víctor Viñals, Pablo Ibáñez, José María Llabería |
Filtering Directory Lookups in CMPs with Write-Through Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par (1) ![In: Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part I, pp. 269-281, 2011, Springer, 978-3-642-23399-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Tei-Wei Kuo, Yen-Hsi Hou, Kam-yiu Lam |
The Impacts of Write-Through Procedures and Checkpointing on Real-Time Concurrency Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. J. ![In: Comput. J. 46(2), pp. 174-192, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Alan Jay Smith |
Characterizing the Storage Process and Its Effect on the Update of Main Memory by Write Through. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 26(1), pp. 6-27, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
|
13 | Steve Frank, Armond Inselberg |
Synapse tightly coupled multiprocessors: a new approach to solve old problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1984 National Computer Conference, 9-12 July 1984, Las Vegas, Nevada, USA, pp. 41-50, 1984, AFIPS Press, 0-88283-043-0. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
12 | Marco Annaratone, Roland Rühl |
Performance Measurements on a Commercial Multiprocessor Running Parallel Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 307-314, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
C, FORTRAN |
11 | Chenjie Yu, Peter Petrov |
Latency and bandwidth efficient communication through system customization for embedded multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 766-771, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
snoop protocol, embedded multiprocessor |
11 | Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu |
A Log-Based Write-Back Mechanism for Cooperative Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 61, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching |
11 | Nestoras Tzartzanis, William W. Walker |
A Transparent Voltage Conversion Method and Its Application to a Dual-Supply-Voltage Register File. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 107-, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Daranee Hormdee, Jim D. Garside, Stephen B. Furber |
An Asynchronous Victim Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2002 Euromicro Symposium on Digital Systems Design (DSD 2002), Systems-on-Chip, 4-6 September 2002, Dortmund, Germany, pp. 4-11, 2002, IEEE Computer Society, 0-7695-1790-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
copy-back cache architecture, asynchronous design, victim cache |
11 | Om P. Agrawal, Arthur V. Pohm |
Cache memory systems for multiprocessor architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1977 National Computer Conference, June 13-16, 1977, Dallas, Texas, USA, pp. 955-964, 1977, AFIPS Press, 978-1-4503-7914-4. The full citation details ...](Pics/full.jpeg) |
1977 |
DBLP DOI BibTeX RDF |
|
7 | Isaac Gelado, John H. Kelm, Shane Ryoo, Steven S. Lumetta, Nacho Navarro, Wen-mei W. Hwu |
CUBA: an architecture for efficient CPU/co-processor data communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 299-308, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
co-processors |
7 | Luong Dinh Hung, Hidetsugu Irie, Masahiro Goshima, Shuichi Sakai |
Utilization of SECDED for soft error and variation-induced defect tolerance in caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1134-1139, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
6 | Robin Dhamankar, Hanuma Kodavalla |
InProcDiskSim: testing database recovery on commodity disk drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DBTest ![In: Proceedings of the 2nd International Workshop on Testing Database Systems, DBTest 2009, Providence, Rhode Island, USA, June 29, 2009, 2009, ACM, 978-1-60558-706-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
verification, testing, database recovery |
6 | Jörg-Christian Niemann, Christian Liß, Mario Porrmann, Ulrich Rückert 0001 |
A Multiprocessor Cache for Massively Parallel SoC Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2007, 20th International Conference, Zurich, Switzerland, March 12-15, 2007, Proceedings, pp. 83-97, 2007, Springer, 978-3-540-71267-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
6 | Kazunori Ogata, Hideaki Komatsu, Toshio Nakatani |
Bytecode fetch optimization for a Java interpreter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002., pp. 58-67, 2002, ACM Press, 1-58113-574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
pipelined interpreter, stack caching, Java, performance, superscalar processor, PowerPC, bytecode interpreter |
6 | Cristiana Amza, Alan L. Cox, Willy Zwaenepoel |
Data Replication Strategies for Fault Tolerance and Availability on Commodity Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 25-28 June 2000, New York, NY, USA, pp. 459-467, 2000, IEEE Computer Society, 0-7695-0707-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
reliable memory, primary-backup, cluster, fault tolerance, replication |
6 | Ping Lin, C. Sekar Chandersekaran |
Integration of DCE and Local Registries: Design Approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: CCS '93, Proceedings of the 1st ACM Conference on Computer and Communications Security, Fairfax, Virginia, USA, November 3-5, 1993., pp. 165-170, 1993, ACM, 0-89791-629-8. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
6 | Michael N. Nelson, Brent B. Welch, John K. Ousterhout |
Caching in the Sprite Network File System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 6(1), pp. 134-154, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #41 of 41 (100 per page; Change: )
|
|