The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Brian W. Curran, Lee Eisen, Eric M. Schwarz, Pak-kin Mak, James D. Warnock, Patrick J. Meaney, Michael F. Fee The zEnterprise 196 System and Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Ru Wang, Bao-Xia Fan, Liang Yang, Yan-Ping Gao, Dong Liu, Bin Xiao 0006, Jiang-Mei Wang, Yifu Zhang, Hong Wang, Weiwu Hu Physical Implementation of the Eight-Core Godson-3B Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Zichu Qi, Hui Liu, Xiangku Li, Weiwu Hu Design for Testability Features of Godson-3 Multicore Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Joshua Friedrich, Ruchir Puri, Uwe Brandt, Markus Bühler, Jack DiLullo, Jeremy Hopkins, Mozammel Hossain, Michael A. Kazda, Joachim Keinert, Zahi M. Kurzum, Douglass Lamb, Alice Lee, Frank Musante, Jens Noack, Peter J. Osler, Stephen D. Posluszny, Haifeng Qian, Shyam Ramji, Vasant B. Rao, Lakshmi N. Reddy, Haoxing Ren, Thomas E. Rosser, Benjamin R. Russell, Cliff C. N. Sze, Gustavo E. Téllez Design methodology for the IBM POWER7 microprocessor. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Tanay Karnik, Dinesh Somasekhar, Shekhar Borkar Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Ruey-Fong Chang, Chen-Wei Chang, Kuo-Hsiung Tseng, Cheng-Lun Chiang, Wen-Shiow Kao, Wen-Jang Chen Structural planning and implementation of a microprocessor-based human-machine interface in a steam-explosion process application. Search on Bibsonomy Comput. Stand. Interfaces The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Chang-Ching Yeh, Kuei-Chung Chang, Tien-Fu Chen, Chingwei Yeh Maintaining performance on power gating of microprocessor functional units by using a predictive pre-wakeup strategy. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Marek Chrobak, Christoph Dürr, Mathilde Hurand, Julien Robert Algorithms for temperature-aware task scheduling in microprocessor systems. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Ihab Sbeity, Mohamed Dbouk, Brigitte Plateau Stochastic bounds for microprocessor systems availability. Search on Bibsonomy Int. Arab J. Inf. Technol. The full citation details ... 2011 DBLP  BibTeX  RDF
12Georgios N. Selimis, Li Huang, Fabien Massé, Ioanna Tsekoura, Maryam Ashouei, Francky Catthoor, Jos Huisken, Jan Stuyt, Guido Dolmans, Julien Penders, Harmke de Groot A Lightweight Security Scheme for Wireless Body Area Networks: Design, Energy Evaluation and Proposed Microprocessor Design. Search on Bibsonomy J. Medical Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Mandar Padmawar, Sanghamitra Roy, Koushik Chakraborty Microprocessor Power Supply Noise Aware Floorplanning Using a Circuit-Architectural Framework. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Arijit Raychowdhury, Jim Tschanz, Keith A. Bowman, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De Error Detection and Correction in Microprocessor Core and Memory Due to Fast Dynamic Voltage Droops. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Bartomeu Alorda, Kay Suenaga, Pere Pons Design and evaluation of a microprocessor course combining three cooperative methods: SDLA, PjBL and CnBL. Search on Bibsonomy Comput. Educ. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Yoji Bando, Makoto Nagata Microprocessor power noise measurements with different levels of resource occupancy. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Meeta Sharma Gupta, Pradip Bose Variation-Tolerant Microprocessor Architecture at Low Power. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Magdy S. Abadir, Jay Bhadra, Li-C. Wang (eds.) 12th International Workshop on Microprocessor Test and Verification, MTV 2011, Austin, TX, USA, December 5-7, 2011 Search on Bibsonomy MTV The full citation details ... 2011 DBLP  BibTeX  RDF
12Wei Jin 0004, Sheng Lu, Weifeng He, Zhigang Mao A 230mV 8-bit sub-threshold microprocessor for wireless sensor network. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Martin Schoeberl, Pascal Schleuniger, Wolfgang Puffitsch, Florian Brandner, Christian W. Probst Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach. Search on Bibsonomy PPES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Nikos Foutris, Dimitris Gizopoulos, Mihalis Psarakis, Xavier Vera, Antonio González 0001 Accelerating microprocessor silicon validation by exposing ISA diversity. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Andrzej Kwiecien, Michal Mackowski, Krzysztof Skoroniak The Analysis of Microprocessor Instruction Cycle. Search on Bibsonomy CN The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Andrzej Kwiecien, Michal Mackowski, Krzysztof Skoroniak Instruction Prediction in Microprocessor Unit. Search on Bibsonomy CN The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Nai-Fu Chang, Cheng-Yi Chiang, Tung-Chien Chen, Liang-Gee Chen Cubic spline interpolation with overlapped window and data reuse for on-line Hilbert Huang transform biomedical microprocessor. Search on Bibsonomy EMBC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Patrick J. Eibl, Albert Meixner, Daniel J. Sorin An FPGA-based experimental evaluation of microprocessor core error detection with Argus-2. Search on Bibsonomy SIGMETRICS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Florent de Dinechin The Arithmetic Operators You Will Never See in a Microprocessor. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Haitong Tian, Wai-Chung Tang, Evangeline F. Y. Young, Cliff C. N. Sze Grid-to-ports clock routing for high performance microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Assen V. Krumov Maximum torque high efficiency microprocessor based control of induction motors. Search on Bibsonomy MIPRO The full citation details ... 2011 DBLP  BibTeX  RDF
12Nathan Ickes, Yildiz Sinangil, Francesco Pappalardo 0002, Elio Guidetti, Anantha P. Chandrakasan A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip. Search on Bibsonomy ESSCIRC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Friedrich Bauer, Joseph Wenninger, Jan Haase 0001, Thomas Fischer 0012 Watching a processor at work: A self-explanatory simulator and illustrator for the MC8 microprocessor. Search on Bibsonomy EUROCON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Joseph N. Kozhaya, Phillip J. Restle, Haifeng Qian Myth busters: Microprocessor clocking is from Mars, ASICs clocking is from Venus. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12James D. Warnock, Y. Chan, William V. Huott, Sean M. Carey, Michael F. Fee, Huajun Wen, Mary Jo Saccamango, Frank Malgioglio, Patrick J. Meaney, Donald W. Plass, Yuen H. Chan, Mark D. Mayo, Guenter Mayer, Leon J. Sigal, David L. Rude, Robert M. Averill III, Michael H. Wood, Thomas Strach, Howard H. Smith, Brian W. Curran, Eric M. Schwarz, Lee Eisen, Doug Malone, Steve Weitzel, Pak-kin Mak, Thomas J. McPherson, Charles F. Webb A 5.2GHz microprocessor chip for the IBM zEnterprise™ system. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Kris Myny, Erik van Veenendaal, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans An 8b organic microprocessor on plastic foil. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Youngtaek Kim, Lizy Kurian John Automated di/dt stressmark generation for microprocessor power delivery networks. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
12Ron Zeira, Dmitry Korchemny, Ron Shamir Using Computational Biology Methods to Improve Post-silicon Microprocessor Testing. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Tae Houn Song, Soonmook Jeong, Seunghun Jin, Dongkyun Kim, Key Ho Kwon, Jae Wook Jeon A System Consisting of Off-Chip Based Microprocessor and FPGA Interface for Human-Robot Interaction Applications. Search on Bibsonomy ICCSA (5) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12V. Om Prakash Rai, D. R. Mehta, S. K. Parganiha External interrupt verification for microprocessor design. Search on Bibsonomy ICWET The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Kourosh Sedghisigarchi A microprocessor-based controller for high temperature PEM fuel cells. Search on Bibsonomy IAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Salma Bergaoui, Régis Leveugle Impact of Software Optimization on Variable Lifetimes in a Microprocessor-Based System. Search on Bibsonomy DELTA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Michael J. Anderson, Bryan Catanzaro, Jike Chong, Ekaterina Gonina, Kurt Keutzer, Chao-Yue Lai, Mark Murphy, David Sheffield, Bor-Yiing Su, Narayanan Sundaram Considerations When Evaluating Microprocessor Platforms. Search on Bibsonomy HotPar The full citation details ... 2011 DBLP  BibTeX  RDF
12Qi Guo 0001, Tianshi Chen 0002, Yunji Chen, Zhi-Hua Zhou, Weiwu Hu, Zhiwei Xu 0002 Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations. Search on Bibsonomy IJCAI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Vivek S. Nandakumar, Malgorzata Marek-Sadowska Layout effects in fine grain 3D integrated regular microprocessor blocks. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Christoph Wolf, Steffen Zeidler 0001, Milos Krstic, Rolf Kraemer Overview on ATE Test and Debugging Methods for Asynchronous Circuits. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Daecheol You, Young-Si Hwang, Youngho Ahn, Ki-Seok Chung A Test Method for Power Management of SoC-based Microprocessors. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Alper Sen 0001, Etem Deniz Verification Tests for MCAPI. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Devraj Kallappa Bakchowde, Nanda Kishore AS An Efficient Overlapping Event Generation Method for Symmetric System Testing. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda Automatic Generation of Software-based Functional Failing Test for Speed Debug and On-silicon Timing Verification. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Luigi Di Guglielmo, Franco Fummi, Graziano Pravadelli Reusing of Properties after Discretization of Hybrid Automata. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Mona Safar, Magdy A. El-Moursy, Ashraf Salem, Mohamed Abdelsalam TLM Based Approach for Architecture Exploration of Multicore Systems-on-Chip. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Christian Miller, Karina Gitina, Bernd Becker 0001 Bounded Model Checking of Incomplete Real-time Systems Using Quantified SMT Formulas. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Sergey Sofer, Asher Berkovitz, Valery Neiman High Coverage Power Integrity Verification in PSO Domains Employing Distributed PSO Switches. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Kesava R. Talupuru, Sanjai Athi Achieving Glitch-Free Clock Domain Crossing Signals Using Formal Verification, Static Timing Analysis, and Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Oswaldo Olivo, Sandip Ray, Jayanta Bhadra, Vivekananda M. Vedula A Unified Formal Framework for Analyzing Functional and Speed-path Properties. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Ouiza Dahmoune, Robert de B. Johnston Model Checker to FPGA Prototype Commmunication Bottleneck Issue. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Gabriel H. Loh, Yuan Xie 0001 3D Stacked Microprocessor: Are We There Yet? Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Bao-Xia Fan, Liang Yang, Jiang-Mei Wang, Ru Wang, Bin Xiao 0006, Ying Xu, Dong Liu, Ji-Ye Zhao Physical Implementation of the 1GHz Godson-3 Quad-Core Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Ji-Ye Zhao, Dong Liu, Dan-Dan Huan, Meng-Hao Su, Bin Xiao, Ying Xu, Feng Shi, Chen Chen, Song Wang Physical Design Methodology for Godson-2G Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Xi Chen 0068, Lei Yang 0017, Robert P. Dick, Li Shang, Haris Lekatsas C-Pack: A High-Performance Microprocessor Cache Compression Algorithm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Zbigniew Stachniak The MIL MF7114 Microprocessor. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Jacek Izydorczyk, Michal Izydorczyk Microprocessor Scaling: What Limits Will Hold?. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Information theory, Hardware, Integrated circuit design, CMOS technology, Moore's law
12Chang-Ching Yeh, Kuei-Chung Chang, Tien-Fu Chen, Chingwei Yeh Adaptive Pipeline voltage Scaling in High Performance Microprocessor. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Bruno Osorno Analysis of Microprocessor Based Protective Re-lay's (MBPR) Differential Equation Algorithms Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
12Peter van Stralen, Andy D. Pimentel A High-level Microprocessor Power Modeling Technique Based on Event Signatures. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Mihalis Psarakis, Dimitris Gizopoulos, Edgar E. Sánchez, Matteo Sonza Reorda Microprocessor Software-Based Self-Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12David S. Hardin (eds.) Design and Verification of Microprocessor Systems for High-Assurance Applications. Search on Bibsonomy 2010 DBLP  DOI  BibTeX  RDF
12Magdy S. Abadir, Jay Bhadra, Li-C. Wang (eds.) 11th International Workshop on Microprocessor Test and Verification, MTV 2010, Austin, TX, USA, December 13-15, 2010 Search on Bibsonomy MTV The full citation details ... 2010 DBLP  BibTeX  RDF
12Chee-Kong Chui The LogP and MLogP models for parallel image processing with multi-core microprocessor. Search on Bibsonomy SoICT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Yang Liu 0029, Jon Timmis, Omer Qadir, Gianluca Tempesti, Andy M. Tyrrell A Developmental and Immune-Inspired Dynamic Task Allocation Algorithm for Microprocessor Array Systems. Search on Bibsonomy ICARIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Thom Jefferson A. Eguia, Sheldon X.-D. Tan, Ruijing Shen, Eduardo H. Pacheco, Murli Tirumala General behavioral thermal modeling and characterization for multi-core microprocessor design. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Tao Zhang, Tao Lv 0001, Xiaowei Li 0001 An abstraction-guided simulation approach using Markov models for microprocessor verification. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Songjun Pan, Yu Hu 0001, Xiaowei Li 0001 IVF: Characterizing the vulnerability of microprocessor structures to intermittent faults. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Michal Mackowski, Krzysztof Skoroniak Instruction Prediction in Microprocessor Unit Based on Power Supply Line. Search on Bibsonomy CN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Stefano Di Carlo, Andrea Miele, Paolo Prinetto, Antonio Trapanese Microprocessor fault-tolerance via on-the-fly partial reconfiguration. Search on Bibsonomy ETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Jing Zeng, Ruifeng Guo, Wu-Tung Cheng, Michael Mateja, Jing Wang, Kun-Han Tsai, Ken Amstutz Scan based speed-path debug for a microprocessor. Search on Bibsonomy ETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Harold W. Cain, Priya Nagpurkar Runahead execution vs. conventional data prefetching in the IBM POWER6 microprocessor. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Xinfeng Xu, Yong Hei New Trends in Microprocessor Architecture for Parallel Computing. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Giang Nguyen Huong, Seon Wook Kim Support of cross calls between a microprocessor and FPGA in CPU-FPGA coupling architecture. Search on Bibsonomy IPDPS Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Keith A. Bowman, James W. Tschanz Resilient microprocessor design for improving performance and energy efficiency. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Keith A. Bowman, Carlos Tokunaga, James W. Tschanz, Arijit Raychowdhury, Muhammad M. Khellah, Bibiche M. Geuskens, Shih-Lien Lu, Paolo A. Aseron, Tanay Karnik, Vivek De Dynamic variation monitor for measuring the impact of voltage droops on microprocessor clock frequency. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12James W. Tschanz, Keith A. Bowman, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Arijit Raychowdhury, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Mondira (Mandy) Deb Pant Microprocessor power delivery challenges in the Nano-Era. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power delivery, power, microprocessors
12Pradip Bose, Alper Buyuktosunoglu, Chen-Yong Cher, John A. Darringer, Meeta Sharma Gupta, Hendrik F. Hamann, Hans M. Jacobson, Prabhakar Kudva, Eren Kursun, Niti Madan, Indira Nair, Jude A. Rivers, Jeonghee Shin, Alan J. Weger, Victor V. Zyuban Power-efficient, reliable microprocessor architectures: modeling and design methods. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power-efficient design, pre-silicon modeling, reliable operation
12Václav Dvorák Multi-Terminal BDDs in Microprocessor-based Control. Search on Bibsonomy ICINCO (3) The full citation details ... 2010 DBLP  BibTeX  RDF
12Tatsuya Suto, Kenji Ichijo, Yoshio Yoshioka Design and Evaluation of Burst-Mode Asynchronous 8-Bit Microprocessor Using Standard FPGA Development System. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Rajesh Kannan Megalingam, V. Krishnan, M. Nair, Vineeth Sarma V., Rahul Srikumar Serializing the data bus of the Sun OpenSPARC T1 microprocessor datapath for reduced power consumption. Search on Bibsonomy ICWET The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Kimiyoshi Usami, Tatsunori Hashida, Satoshi Koyama, Tatsuya Yamamoto, Daisuke Ikebuchi, Hideharu Amano, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura Adaptive power gating for function units in a microprocessor. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Vivek S. Nandakumar, David Newmark, Yaping Zhan, Malgorzata Marek-Sadowska Statistical static timing analysis flow for transistor level macros in a microprocessor. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Warren A. Hunt Jr. Verifying VIA Nano microprocessor components. Search on Bibsonomy FMCAD The full citation details ... 2010 DBLP  BibTeX  RDF
12Pankaj Pant, Joshua Zelman, Glenn Colón-Bonet, Jennifer Flint, Steve Yurash Lessons from at-speed scan deployment on an Intel® Itanium® microprocessor. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12James Crafts, David Bogdan, Dennis Conti, Donato O. Forlenza, Orazio P. Forlenza, William V. Huott, Mary P. Kusko, Edward Seymour, Timothy Taylor, Brian Walsh Testing the IBM Power 7™ 4 GHz eight core microprocessor. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Rance Rodrigues, Sandip Kundu, Omer Khan Shadow checker (SC): A low-cost hardware scheme for online detection of faults in small memory structures of a microprocessor. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12David Iverson, Dan Dickinson, John Masson, Christina Newman-LaBounty, Daniel Simmons, William Tanona Redundant core testing on the cell BE microprocessor. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Mahmut Yilmaz, Baosheng Wang, Jayalakshmi Rajaraman, Tom Olsen, Kanwaldeep Sobti, Dwight Elvey, Jeff Fitzgerald, Grady Giles, Wei-Yu Chen The scan-DFT features of AMD's next-generation microprocessor core. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Yongwen Wang, Qianbing Zheng, Qiang Dou, Minxuan Zhang Low Power Design for a Multi-core Multi-thread Microprocessor. Search on Bibsonomy GreenCom/CPSCom The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Brian W. Thompto, Bodo Hoppe Verification for fault tolerance of the IBM system z microprocessor. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CFI, error detection, fault injection, error recovery, SER, RAS
12Daniel Jones 0005 High speed simulation of microprocessor systems using LTU dynamic binary translation. Search on Bibsonomy 2010   RDF
12Danilo Ravotto Analysis and development of methodologies for advanced microprocessor design support. Search on Bibsonomy 2010   RDF
12Ouiza Dahmoune, Robert de B. Johnston An Embedded Reachability Analyzer and Invariant Checker (ERAIC). Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Christian Miller, Karina Gitina, Christoph Scholl 0001, Bernd Becker 0001 Bounded Model Checking of Incomplete Networks of Timed Automata. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Brian Keng, Andreas G. Veneris, Sean Safarpour An Automated Framework for Correction and Debug of PSL Assertions. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Oscar Ballan, Paolo Bernardi, Giovanni Fontana, Michelangelo Grosso, Ernesto Sánchez 0001 A Fault Grading Methodology for Software-Based Self-Test Programs in Systems-on-Chip. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Devraj Kallappa Bakchowde, Nanda Kishore A. S. An Efficient Event Generation Method for Testing a SOC with Multiple Processing Elements and Associated Peripherals. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #1301 - #1400 of 4097 (100 per page; Change: )
Pages: [<<][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license