The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for 21264 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2001 (22) 2002-2004 (17) 2005-2008 (6)
Publication types (Num. hits)
article(7) inproceedings(38)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 42 occurrences of 35 keywords

Results
Found 45 publication records. Showing 45 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
99Scott A. Taylor, Michael Quinn, Darren Brown, Nathan Dohm, Scot Hildebrandt, James Huggins, Carl Ramey Functional Verification of a Multiple-issue, Out-of-Order, Superscalar Alpha Processor - The DEC Alpha 21264 Microprocessor. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF 21264, coverage anaysis, verification, architecture, validation, microprocessor, pseudo-random, Alpha
86Zarka Cvetanovic, Richard E. Kessler Performance analysis of the Alpha 21264-based Compaq ES40 system. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Dilip K. Bhavsar, David R. Akeson, Michael K. Gowan, Daniel B. Jackson Testability access of the high speed test features in the Alpha 21264 microprocessor. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
51Michael K. Gowan, Larry L. Biro, Daniel B. Jackson Power Considerations in the Design of the Alpha 21264 Microprocessor. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF technology mapping, programmable logic devices, PLA-style logic blocks
48Karthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger Microprocessor pipeline energy analysis. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF alpha 21264, over-provisioning, power, energy, speculation
35Greg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott, Steven G. Dropsho, Sandhya Dwarkadas Hiding Synchronization Delays in a GALS Processor Microarchitecture. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Juan L. Aragón, José González 0002, José M. García 0001, Antonio González 0001 Confidence Estimation for Branch Prediction Reversal. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Richard Weiss 0001, Nathan L. Binkert A Comparison of AES Candidates on the Alpha 21264. Search on Bibsonomy AES Candidate Conference The full citation details ... 2000 DBLP  BibTeX  RDF
33Richard E. Kessler The Alpha 21264 microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Dilip K. Bhavsar An algorithm for row-column self-repair of RAMs and its implementation in the Alpha 21264. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Richard E. Kessler, Edward J. McLellan, D. A. Webb The Alpha 21264 microprocessor architecture. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Emily J. Shriver, Dale H. Hall, Nevine Nassif, Nadir E. Rahman, Nick L. Rethman, Gill Watt, Jim A. Farrell Timing verification of the 21264: A 600 MHz full-custom microprocessor. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Daniel L. Leibholz, Rahul Razdan The Alpha 21264: a 500 MHz out-of-order execution microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
33Gabriel P. Bischoff, Karl S. Brace, Samir Jain, Rahul Razdan Formal Implementation Verification of the Bus Interface Unit for the Alpha 21264 Microprocessor. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Yossi Malka, Avi Ziv Design Reliability - Estimation through Statistical Analysis of Bug Discovery Data. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF 21264, coverage anaysis, verification, architecture, validation, microprocessor, PowerPC, pseudo-random, Alpha
17Cor Meenderinck, Ben H. H. Juurlink (When) Will CMPs Hit the Power Wall?. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sangeetha Sudhakrishnan, Liying Su, Jose Renau Processor Verification with hwBugHunt. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Aamer Jaleel, Bruce L. Jacob In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reorder-buffer (ROB), exception handlers, in-line interrupt, lock-up free, translation lookaside buffers (TLBs), performance modeling, precise interrupts
17Hui-Yuan Song, Kundan Nepal, R. Iris Bahar, Joel Grodstein Timing analysis for full-custom circuits using symbolic DC formulations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Aaron Smith, Jon Gibson, Bertrand A. Maher, Nicholas Nethercote, Bill Yoder, Doug Burger, Kathryn S. McKinley, James H. Burrill Compiling for EDGE Architectures. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17R. Iris Bahar, Hui-Yuan Song, Kundan Nepal, Joel Grodstein Symbolic failure analysis of complex CMOS circuits due to excessive leakage current and charge sharing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Joon-Sang Park, Michael Penner, Viktor K. Prasanna Optimizing Graph Algorithms for Improved Cache Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cache-friendly algorithms, shortest path, graph algorithms, minimum spanning trees, graph matching, algorithm performance, cache-oblivious algorithms, data layout optimizations
17Lu Peng 0001, Jih-Kwon Peir, Konrad Lai Signature Buffer: Bridging Performance Gap between Registers and Caches. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Peter K. Szwed, Daniel Marques, Robert M. Buels, Sally A. McKee, Martin Schulz 0001 SimSnap: Fast-Forwarding via Native Execution and Application-Level Checkpointing. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jiangjiang Liu 0002, Krishnan Sundaresan, Nihar R. Mahapatra Dynamic Address Compression Schemes: A Performance, Energy, and Cost Study. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Christophe Lemuet, William Jalby, Sid Ahmed Ali Touati Improving Load/Store Queues Usage in Scientific Computing. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17David Parello, Olivier Temam, Albert Cohen 0001, Jean-Marie Verdun Towards a Systematic, Pragmatic and Architecture-Aware Program Optimization Process for Complex Processors. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nihar R. Mahapatra, Jiangjiang Liu 0002, Krishnan Sundaresan Hardware-Only Compression of Underutilized Address Buses: Design and Performance, Power, and Cost Analysis. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Hui-Yuan Song, S. Bohidar, R. Iris Bahar, Joel Grodstein Symbolic Failure Analysis of Custom Circuits due to Excessive Leakage Current. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Scott Erlanger, Dilip K. Bhavsar, Richard A. Davies Testability Features of the Alpha 21364 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Mondira Deb Pant, Pankaj Pant, D. Scott Wills On-chip decoupling capacitor optimization using architectural level prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Daniel A. Jiménez, Calvin Lin Neural methods for dynamic branch prediction. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF neural networks, Branch prediction
17Kazuto Matsuo, Jinhui Chao, Shigeo Tsujii An Improved Baby Step Giant Step Algorithm for Point Counting of Hyperelliptic Curves over Finite Fields. Search on Bibsonomy ANTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Joon-Sang Park, Michael Penner, Viktor K. Prasanna Optimizing Graph Algorithms for Improved Cache Performance. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Chi-Keung Luk, Robert Muth, Harish Patil, Richard Weiss 0001, P. Geoffrey Lowney, Robert S. Cohn Profile-guided post-link stride prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address strides, post-link optimizations, profiling, data prefetching, memory latency
17Alper Buyuktosunoglu, David H. Albonesi, Pradip Bose, Peter W. Cook, Stanley Schuster Tradeoffs in power-efficient issue queue design. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-compacting, adaptation, low-power, microarchitecture, compacting, banking, issue queue
17Daniel A. Jiménez, Heather L. Hanson, Calvin Lin Boolean Formula-Based Branch Prediction for Future Technologies. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Christopher T. Weaver, Todd M. Austin A Fault Tolerant Approach to Microprocessor Design. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Aamer Jaleel, Bruce L. Jacob Improving the Precise Interrupt Mechanism of Software-Managed TLB Miss Handlers. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Dilip K. Bhavsar, Rishan Tan Observability Register Architecture For Efficient Production Test And Debug Of Vlsi Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17R. Iris Bahar, Srilatha Manne Power and energy reduction via pipeline balancing. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Lisa Wu 0001, Christopher T. Weaver, Todd M. Austin CryptoManiac: a fast flexible architecture for secure communication. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Kazumaro Aoki, Fumitaka Hoshino, Tetsutaro Kobayashi A Cyclic Window Algorithm for ECC Defined over Extension Fields. Search on Bibsonomy ICICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Roberto Maro, Yu Bai 0001, R. Iris Bahar Dynamically Reconfiguring Processor Resources to Reduce Power Consumption in High-Performance Processors. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, high-performance, architecture-level
17Kourosh Gharachorloo, Madhu Sharma, Simon Steely, Stephen Van Doren Architecture and design of AlphaServer GS320. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #45 of 45 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license