The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BTB with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2002 (22) 2003-2006 (18) 2007-2010 (17) 2011-2019 (16) 2021-2023 (14)
Publication types (Num. hits)
article(23) inproceedings(64)
Venues (Conferences, Journals, ...)
ICCD(4) ISCA(4) MICRO(4) ACM Trans. Archit. Code Optim.(3) CoRR(3) Euro-Par(3) HPCA(3) ISLPED(3) Asia-Pacific Computer Systems ...(2) ASP-DAC(2) CANDAR(2) CASES(2) GWC(2) HiPC(2) ICRA(2) IECON(2) More (+10 of total 55)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 63 occurrences of 45 keywords

Results
Found 87 publication records. Showing 87 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
188Yen-Jen Chang Lazy BTB: reduce BTB energy consumption using dynamic profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
145Tao Li 0006, Ravi Bhargava, Lizy Kurian John Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
135Weiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
128Ioana Burcea, Andreas Moshovos Phantom-BTB: a virtualized branch target buffer design. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF predictor metadata prefetching, predictor virtualization, branch target buffer
122Cheol Kim, Sung Chung, Chu Shik Jhon A Power-Aware Branch Predictor by Accessing the BTB Selectively. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BTB, PHT, low power design, embedded processor, branch predictor
119Jan Hoogerbrugge Cost-Efficient Branch Target Buffers. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
102Sung-Hoon Shim, Jong Wook Kwak, Cheol Hong Kim, Sung Tae Jhang, Chu Shik Jhon Power-Aware Branch Logic: A Hardware Based Technique for Filtering Access to Branch Logic. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
94Noel Tomás, Julio Sahuquillo, Salvador Petit, Pedro López 0001 Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
62Nadav Levison, Shlomo Weiss Low power branch prediction for embedded application processors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ARM cortex, BTB, mobile, embedded, power, battery
61Eric F. Weglarz, Kewal K. Saluja, Mikko H. Lipasti Minimizing Energy Consumption for High-Performance Processing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF BTB size, voltage reduction, frequency reduction, parallel processing, low power, energy savings, MPEG-2, cache size
60Shyh-An Chi, R.-Ming Shiu, Jih-Ching Chiu, Si-En Chang, Chung-Ping Chung Instruction Cache Prefetching with Extended BTB. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
53Truls Asheim, Boris Grot, Rakesh Kumar 0003 BTB-X: A Storage-Effective BTB Organization. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
51Hans Vandierendonck, André Seznec Speculative return address stack management revisited. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Return address prediction, back-up predictor, corruption detection
51Juan Carlos Martínez Santos, Yunsi Fei Leveraging speculative architectures for run-time program validation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Hisataka Maruyama, Fumihito Arai, Toshio Fukuda Gel-tool Sensor Positioned by Optical Tweezers for Local pH Measurement in a Microchip. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Kaveh Jokar Deris, Amirali Baniasadi Branchless cycle prediction for embedded processors. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, embedded processors, branch target buffer, power-aware architectures
51Tao Zhang 0037, Weidong Shi, Santosh Pande Static Techniques to Improve Power Efficiency of Branch Predictors. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Weidong Shi, Tao Zhang 0037, Santosh Pande Static Techniques to Improve Power Efficiency of Branch Predictors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Peter Petrov, Alex Orailoglu Low-power Branch Target Buffer for Application-Specific Embedded Processors. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51Brad Calder, Dirk Grunwald Next Cache Line and Set Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
43Sung Woo Chung, Sung-Bae Park A Low Power Branch Predictor to Selectively Access the BTB. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami A Low Energy Set-Associative I-Cache with Extended BTB. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Hisataka Maruyama, Fumihito Arai, Toshio Fukuda Fabrication of functional gel-microbead for local environment measurement in microchip. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Chengmo Yang, Alex Orailoglu Power efficient branch prediction through early identification of branch addresses. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, application-specific processors, dynamic branch prediction
34Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras Implementing branch-predictor decay using quasi-static memory cells. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Energy aware computing
34Enric Musoll Speculating to reduce unnecessary power consumption. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power microarchitectures, Low-power design
34Yul Chu, Mabo Robert Ito An Efficient Indirect Branch Predictor. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Jan Hoogerbrugge Dynamic Branch Prediction for a VLIW Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Da-Chih David Tang, Ann Marie Grizzaffi Maynard, Lizy Kurian John Contrasting branch characteristics and branch predictor performance of C++ and C programs. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28David R. Kaeli, Philip G. Emma Improving the Accuracy of History Based Branch Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF History-based branch prediction, BTB, call/return stack, case block table, high-level language, execution-driven simulation
27Ahmad Zmily, Christos Kozyrakis A low power front-end for embedded processors using a block-aware instruction set. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching
27Tao Li 0006, Ravi Bhargava, Lizy Kurian John Adapting branch-target buffer to improve the target predictability of java code. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF branch-target buffer (BTB), Java, Computer architecture, branch prediction, pipelined processor
26Jiawei Nian, Zongnan Liang, Hongjin Liu, Mengfei Yang An Efficient Fault-Tolerant Protection Method for L0 BTB. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Truls Asheim, Boris Grot, Rakesh Kumar 0003 A Storage-Effective BTB Organization for Servers. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Truls Asheim, Boris Grot, Rakesh Kumar 0003 A Storage-Effective BTB Organization for Servers. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Kiril Simov, Petya Osenova Recent Developments in BTB-WordNet. Search on Bibsonomy GWC The full citation details ... 2023 DBLP  BibTeX  RDF
26Jiyong Yu, Trent Jaeger, Christopher Wardlaw Fletcher All Your PC Are Belong to Us: Exploiting Non-control-Transfer Instruction BTB Updates for Dynamic PC Extraction. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Chongbin Zhao, Qirong Jiang Impedance-based AC/DC Terminal Modeling and Analysis of MMC-BTB system. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Truls Asheim, Boris Grot, Rakesh Kumar 0003 A Specialized BTB Organization for Servers. Search on Bibsonomy PACT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Vishal Gupta 0006, Biswabandan Panda Micro BTB: a high performance and storage efficient last-level branch target buffer for servers. Search on Bibsonomy CF The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Shixin Song, Tanvir Ahmed Khan, Sara Mahdizadeh-Shahri, Akshitha Sriraman, Niranjan K. Soundararajan, Sreenivas Subramoney, Daniel A. Jiménez, Heiner Litz, Baris Kasikci Thermometer: profile-guided btb replacement for data center applications. Search on Bibsonomy ISCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Vishal Gupta 0006, Biswabandan Panda Micro BTB: A High Performance and Lightweight Last-Level Branch Target Buffer for Servers. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
26Hae Gyun Lim, Hyunhee Kim, Kyungmin Kim, Jeongwoo Park, Yeonggeun Kim, Jinhee Yoo, Dasom Heo, Jinhwan Baik, Sung-Min Park 0001, Hyung Ham Kim Thermal Ablation and High-Resolution Imaging Using a Back-to-Back (BTB) Dual-Mode Ultrasonic Transducer: In Vivo Results. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Tanvir Ahmed Khan, Nathan Brown, Akshitha Sriraman, Niranjan K. Soundararajan, Rakesh Kumar 0003, Joseph Devietti, Sreenivas Subramoney, Gilles A. Pokam, Heiner Litz, Baris Kasikci Twig: Profile-Guided BTB Prefetching for Data Center Applications. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Hady H. Fayek, Omar Hanafy Abdalla Optimal Settings of BTB-VSC in Interconnected Power System Using TFWO. Search on Bibsonomy ISIE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Naoki Matsuda, Hirotaka Okabe, Ayako Omura, Miki Nakano, Koji Miyake, Toshihiko Nagamura, Hideki Kawai In situ Observation of Capturing BTB Molecules from Aqueous Solutions with Hydrophobic DNA Nano-Film. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Moumita Das, Ansuman Banerjee, Bhaskar Sardar A Shared BTB Design for Multicore Systems. Search on Bibsonomy CGO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Kiril Simov, Petya Osenova, Laska Laskova, Ivajlo Radev, Zara Kancheva Aligning the Bulgarian BTB WordNet with the Bulgarian Wikipedia. Search on Bibsonomy GWC The full citation details ... 2019 DBLP  BibTeX  RDF
26Laska Laskova, Petya Osenova, Kiril Ivanov Simov, Ivajlo Radev, Zara Kancheva Modeling MWEs in BTB-WN. Search on Bibsonomy MWE-WN@ACL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Changhee Han, Jaehyeong Lee, Sung Chul Hwang, Gilsoo Jang Multi-Objective Optimized Operating Strategy of BTB-VSC for Grid Service. Search on Bibsonomy ISIE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Zhenya Xiong, Zhenghao Lin, Haoqi Ren 基于跳转轨迹的分支目标缓冲研究 (Efficient BTB Based on Taken Trace). Search on Bibsonomy 计算机科学 The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Andrey A. Radionov, Vadim R. Gasiyarov, Alexander S. Maklakov Hybrid PWM on the basis of SVPWM and SHEPWM for VSI as part of 3L-BtB-NPC converter. Search on Bibsonomy IECON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Ryotaro Kobayashi, Kaoru Saito, Hajime Shimada Energy Reduction of BTB by Focusing on Number of Branches per Cache Line. Search on Bibsonomy J. Inf. Process. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Yoshio Shimomura, Hiroki Yamamoto, Hayato Usui, Ryotaro Kobayashi, Hajime Shimada BTB Energy Reduction by Focusing on Useless Accesses. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Remund Labios, Hwachang Song Determining the placement of BTB converters for fault current reduction in a power system by using a hybrid GA-Tabu search method. Search on Bibsonomy SCIS&ISIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Jorge Almaguer, Victor M. Cárdenas, Homero Miranda, Janeth Alcalá Modular multilevel BTB converter with parallel cells. Search on Bibsonomy IECON The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Kosaku Fukuda, Lin Meng, Takeshi Kumaki, Takeshi Ogura A CAM-Based Separated BTB for a Superscalar Processor. Search on Bibsonomy CANDAR The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Tomoyuki Nakabayashi, Takahiro Sasaki, Toshio Kondo Dynamic BTB Resizing for Variable Stages Superscalar Architecture. Search on Bibsonomy CANDAR The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26D. Changdao, Mariagrazia Graziano, Ernesto Sánchez 0001, Matteo Sonza Reorda, Maurizio Zamboni, N. Zhifan On the functional test of the BTB logic in pipelined and superscalar processors. Search on Bibsonomy LATW The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Ricardo Sierra, Janeth Alcalá, Victor M. Cárdenas, Javier Perez-Ramirez, Ana Rivera Evaluating the performance of the BTB converter under unbalanced voltage sags. Search on Bibsonomy CCE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Abnery Ortiz, Trond Ostrem, Waldemar Sulkowski Tapping power from a microgrid based on a BtB converter. Search on Bibsonomy ISGT Europe The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Roger Kahn, Shlomo Weiss Reducing leakage power with BTB access prediction. Search on Bibsonomy Integr. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Kaveh Jokar Deris, Amirali Baniasadi Power-aware BTB for modern processors. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Muhammad Umar Farooq 0003, Lei Chen, Lizy Kurian John Value Based BTB Indexing for indirect jump prediction. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Roger Kahn, Shlomo Weiss Thrifty BTB: A comprehensive solution for dynamic power reduction in branch target buffers. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Shuai Wang 0006, Jie S. Hu, Sotirios G. Ziavras BTB Access Filtering: A Low Energy and High Performance Design. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yen-Jen Chang An Energy-Efficient BTB Lookup Scheme for Embedded Processors. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Daniel Chaver, Miguel A. Rojas, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado, Michael C. Huang 0001 Energy-aware fetch mechanism: trace cache and BTB customization. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive, profiling, instruction fetch
26Hidenori Sato, Toshinori Sato A static and dynamic energy reduction technique for I-cache and BTB in embedded processors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Soren Prag, Josephine C. Adams Molecular phylogeny of the kelch-repeat superfamily reveals an expansion of BTB/kelch proteins in animals. Search on Bibsonomy BMC Bioinform. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Stevan A. Vlaovic, Edward S. Davidson, Gary S. Tyson Improving BTB performance in the presence of DLLs. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Weili Chu, Stamatis Vassiliadis, José G. Delgado-Frias The multi-associative branch target buffer: a cost effective BTB mechanism. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Hisataka Maruyama, Toshio Fukuda, Fumihito Arai Laser manipulation and optical adhesion control of functional gel-microtool for on-chip cell manipulation. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Christos Strydis, Georgi Gaydadjiev Evaluating Various Branch-Prediction Schemes for Biomedical-Implant Processors. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yixin Shi, Gyungho Lee Augmenting Branch Predictor to Secure Program Execution. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Control Flow Validation, Indirect Branch, Bloom Filter, Software Protection, Branch Predictor
17Chih-Da Chien, Chih-Wei Wang, Chiun-Chau Lin, Tien-Wei Hsieh, Yuan-Hwa Chu, Jiun-In Guo A Low Latency Memory Controller for Video Coding Systems. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Fei Gao, Suleyman Sair Exploiting Intra-function Correlation with the Global History Stack. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan Power-Aware Branch Prediction: Characterization and Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking
17Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Marco Barcella, Mircea R. Stan Power Issues Related to Branch Prediction. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Performance, Power, Energy, Branch Prediction
17Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami Dynamic Tag-Check Omission: A Low Power Instruction Cache Architecture Exploiting Execution Footprints. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero A Comprehensive Analysis of Indirect Branch Prediction. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer
17Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami A history-based I-cache for low-energy multimedia applications. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
17Glenn Reinman, Todd M. Austin, Brad Calder A Scalable Front-End Architecture for Fast Instruction Delivery. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17James O. Bondi, Ashwini K. Nanda, Simonjit Dutta Integrating a Misprediction Recovery Cache (MRC) into a Superscalar Pipeline. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch target buffer technology, deep pipelines, misprediction recovery cache integration, performance loss, residual misprediction penalty, superscalar pipeline, microprocessor chips, microprocessor designs, CISC, multiple instructions
17Shlomit S. Pinter, Adi Yoaz Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time
17Yue Liu, David R. Kaeli Branch-Directed and Stride-Based Data Cache Prefetching. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #87 of 87 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license