The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for EEMBC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2006 (15) 2007-2009 (15) 2010 (1)
Publication types (Num. hits)
article(4) inproceedings(27)
Venues (Conferences, Journals, ...)
HiPEAC(3) ASAP(2) CASES(2) CGO(2) ACIS-ICIS(1) ACM Trans. Embed. Comput. Syst...(1) CODES+ISSS(1) Computer(1) DAC(1) DATE(1) DSN(1) EMSOFT(1) FCCM(1) FPGA(1) ICCAD(1) ICCD(1) More (+10 of total 26)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 55 occurrences of 44 keywords

Results
Found 31 publication records. Showing 31 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
188Markus Levy Using EEMBC Benchmarks to Understand Processor Behavior in Embedded Applications. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Soft vector processors vs FPGA custom hardware: measuring and reducing the gap. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF eembc, soft, viram, fpga, adaptable, vector, data parallel, processor, simd
40Jason A. Poovey, Thomas M. Conte, Markus Levy, Shay Gal-On A Benchmark Characterization of the EEMBC Benchmark Suite. Search on Bibsonomy IEEE Micro The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Markus Levy EEMBC and the Purposes of Embedded Processor Benchmarking. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito 0001 On the exploitation of loop-level parallelism in embedded applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multithreading, Multi-cores, vectorization, libraries, programming models, thread-level speculation, parallel loops, system-on-chip (Soc)
37Md. Mafijul Islam, Alexander Busck, Mikael Engbom, Simji Lee, Michel Dubois 0001, Per Stenström Loop-level Speculative Parallelism in Embedded Applications. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Thomas M. Conte Keynote: Insight, Not (Random) Numbers: An Embedded Perspective. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito 0001 Challenges in exploitation of loop parallelism in embedded applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multithreading, multi-cores, vectorization, libraries, programming models, thread-level speculation, parallel loops
33Markus Levy Evaluating Digital Entertainment System Performance. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DENBench suite, digital media benchmarks, digital device performance, EEMBC, microprocessor systems, benchmarks, MPSoCs
18Tobias J. K. Edler von Koch, Igor Böhm, Björn Franke Integrated instruction selection and register allocation for compact code generation exploiting freeform mixing of 16- and 32-bit instructions. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ARCompact, dual instruction set architecture, variable-length instructions, register allocation, code size, instruction selection
18Daniel Jones 0005, Nigel P. Topham High Speed CPU Simulation Using LTU Dynamic Binary Translation. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Jaejin Lee, Junghyun Kim, Choonki Jang, Seungkyun Kim, Bernhard Egger 0002, Kwangsub Kim, Sangyong Han FaCSim: a fast and cycle-accurate architecture simulator for embedded systems. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtual prototyping, architecture simulator, full-system simulation, simulator parallelization, cycle-accurate simulation
18Martin Labrecque, Peter Yiannacouras, J. Gregory Steffan Scaling Soft Processor Systems. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
18Ciji Isen, Lizy Kurian John, Jung Pil Choi, Hyo Jung Song On the representativeness of embedded Java benchmarks. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Teruaki Sakata, Teppei Hirotsu, Hiromichi Yamada, Takeshi Kataoka A Cost-Effective Dependable Microcontroller Architecture with Instruction-Level Rollback for Soft Error Recovery. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Md. Mafijul Islam On the Limitations of Compilers to Exploit Thread-Level Parallelism in Embedded Applications. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ben L. Titzer, Joshua S. Auerbach, David F. Bacon, Jens Palsberg The ExoVM system for automatic VM and application reduction. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VM design, VM modularity, pre-initialization, static compilation, embedded systems, static analysis, persistence, feature analysis, dead code elimination
18Doosan Cho, Ilya Issenin, Nikil D. Dutt, Jonghee W. Yoon, Yunheung Paek Software controlled memory layout reorganization for irregular array access patterns. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy consumption, data layout, scratch pad memory
18Suman Mamidi, Michael J. Schulte, Daniel Iancu, C. John Glossner Architecture Support for Reconfigurable Multithreaded Processors in Programmable Communication Systems. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne ISEGEN: an iterative improvement-based ISE generation technique for fast customization of processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Aaron Smith, Jon Gibson, Bertrand A. Maher, Nicholas Nethercote, Bill Yoder, Doug Burger, Kathryn S. McKinley, James H. Burrill Compiling for EDGE Architectures. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18David Sheldon, Rakesh Kumar 0002, Roman L. Lysecky, Frank Vahid, Dean M. Tullsen Application-specific customization of parameterized FPGA soft-core processors. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Somayeh Sardashti, Hamid Reza Ghasemi, Omid Fatemi Muli-Issue Multi-Threaded Stream Processor. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Amir Hosein Kamalizad, Nozar Tabrizi, Nader Bagherzadeh, Akira Hatanaka A Programmable DSP Architecture for Wireless Communication Systems. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18David F. Bacon, Perry Cheng, David Grove Garbage collection for embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mark-and-sweep, tracing, fragmentation, compaction
18Partha Biswas, Vinay Choudhary, Kubilay Atasu, Laura Pozzi, Paolo Ienne, Nikil D. Dutt Introduction of local memory elements in instruction set extensions. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ad-hoc functional units, genetic algorithm, ASIPs, coprocessors, instruction set extensions, customizable processors
18Jaegeun Oh, Seon Wook Kim, Chulwoo Kim OpenMP and Compilation Issue in Embedded Applications. Search on Bibsonomy WOMPAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Christoforos E. Kozyrakis, David A. Patterson 0001 Vector vs. superscalar and VLIW architectures for embedded multimedia benchmarks. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Alan Weiss Benchmarking, Selection and Debugging of Microcontrollers. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #31 of 31 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license