The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Gate-resizing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2002 (17) 2004-2022 (9)
Publication types (Num. hits)
article(7) inproceedings(19)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4 occurrences of 4 keywords

Results
Found 26 publication records. Showing 26 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
164Vijay Sundararajan, Keshab K. Parhi Low Power Gate Resizing of Combinational Circuits by Buffer-Redistribution. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Gate-resizing, Buffer-redistribution, near-optimal, library-specific, optimal, low-power
101Supratik Chakraborty, Rajeev Murgai Complexity Of Minimum-Delay Gate Resizing. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
92Chen-Liang Fang, Wen-Ben Jone Timing optimization by gate resizing and critical path identification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
49Juho Kim, David Hung-Chang Du Performance optimization by gate sizing and path sensitization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Supratik Chakraborty, Rajeev Murgai Layout-Driven Timing Optimization by Generalized De Morgan Transform. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout-driven optimization, in-place circuit optimization, DeMorgan transformation, deep sub-micron design, Timing optimization, timing closure
29R. Iris Bahar, Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Fabio Somenzi Symbolic timing analysis and resynthesis for low power of combinational circuits containing false paths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Noureddine Chabini, Said Belkouch, Mohamed Najoui An Algorithm for Gate Resizing to Reduce Power Dissipation in Combinational Digital Designs. Search on Bibsonomy ICECOCS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
27Mohsen Raji, Behnam Ghavami, Hossein Pedram Gate Resizing for Soft Error Rate Reduction in Nano-scale Digital Circuits Considering Process Variations. Search on Bibsonomy DSD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
27Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Soft error reduction in combinational logic using gate resizing and flipflop selection. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Edward Y. C. Cheng, Sartaj Sahni Gate Resizing to Reduce Power Consumption. Search on Bibsonomy Int. J. Found. Comput. Sci. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Torsten Mahnke, Walter Stechele, Martin Embacher, Wolfgang Hoeld Impact of technology evolution on dual supply voltage scaling and gate resizing in power-driven logic synthesis. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Masanori Hashimoto, Hidetoshi Onodera, Keikichi Tamaru A Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, D. Severac A non-iterative gate resizing algorithm for high reduction in power consumption. Search on Bibsonomy Integr. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, D. Severac A gate resizing technique for high reduction in power consumption. Search on Bibsonomy ISLPED The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Weitong Chuang, Ibrahim N. Hajj Delay and area optimization for compact placement by gate resizing and relocation. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Wen-Ben Jone, Chen-Liang Fang Timing Optimization By Gate Resizing And Critical Path Identification. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Chanseok Hwang, Chang Woo Kang, Massoud Pedram Gate Sizing and Replication to Minimize the Effects of Virtual Ground Parasitic Resistances in MTCMOS Designs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hossein Asadi 0001, Mehdi Baradaran Tahoori Soft error hardening for logic-level designs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Justin Hensley, Anselmo Lastra, Montek Singh A Scalable Counterflow-Pipelined Asynchronous Radix-4 Booth Multiplier. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Design of Adaptive Nanometer Digital Systems for Effective Control of Soft Error Tolerance. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Rajeev Murgai Improved Layout-Driven Area-Constrained Timing Optimization by Net Buffering. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Justin Hensley, Anselmo Lastra, Montek Singh An Area- and Energy-Efficient Asynchronous Booth Multiplier for Mobile Devices. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Chi-Ming Tsai, Kun-Tien Kuo, Chyi-Hui Hong, Rung-Bin Lin An Adaptive Interconnect-Length Driven Placer. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Guenter Stenz, Bernhard M. Riess, Bernhard Rohfleisch, Frank M. Johannes Performance optimization by interacting netlist transformations andplacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Rajeev Murgai Performance optimization under rise and fall parameters. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Hsi-Chuan Chen, David Hung-Chang Du, Li-Ren Liu Critical path selection for performance optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #26 of 26 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license