The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for IOLTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (68) 2006 (58) 2007 (61) 2008 (60) 2009 (55) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (48) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
article(3) inproceedings(1076) proceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 40 keywords

Results
Found 1100 publication records. Showing 1100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
72Yannick Monnet, Marc Renaudin, Régis Leveugle, Nathalie Feyt, Pascal Moitrel, F. M'Buwa Nzenguet Practical Evaluation of Fault Countermeasures on an Asynchronous DES Crypto Processor. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57 Panel Summaries. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IEEE VLSI Test Symposium, VTS 05, IEEE 1500, IOLTS 05
56Antoine Rollet, Sébastien Salva Testing robustness of communicating systems using ioco-based approach. Search on Bibsonomy ISCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Alessandro Savino, Michail Maniatakos, Stefano Di Carlo, Dimitris Gizopoulos (eds.) 29th International Symposium on On-Line Testing and Robust System Design, IOLTS 2023, Crete, Greece, July 3-5, 2023 Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Dimitris Mouris, Charles Gouert, Nektarios Georgios Tsoutsos $\text{MP}\ell\circ \mathrm{C}$: Privacy-Preserving IP Verification Using Logic Locking and Secure Multiparty Computation. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Ivan Rodriguez-Ferrandez, Leonidas Kosmidis, Maris Tali, David Steenari Space Shuttle: A Test Vehicle for the Reliability of the SkyWater 130nm PDK for Future Space Processors. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Pegdwende Romaric Nikiema, Alessandro Palumbo, Allan Aasma, Luca Cassano, Angeliki Kritikakou, Ari Kulmala, Jari Lukkarila, Marco Ottavi, Rafail Psiakis, Marcello Traiola Towards Dependable RISC-V Cores for Edge Computing Devices. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Sergio Vinagrero Gutierrez, Giorgio Di Natale, Elena Ioana Vatajelu On-Line Method to Limit Unreliability and Bit-Aliasing in RO-PUF. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Prashanth Krishnamurthy, Hammond Pearce, Virinchi Roy Surabhi, Joshua Trujillo, Ramesh Karri, Farshad Khorrami An Integrated Testbed for Trojans in Printed Circuit Boards with Fuzzing Capabilities. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Prokash Ghosh, Yogesh Gholap, Virendra Singh On-Chip SRAM Disclosure Attack Prevention Technique for SoC. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Natalia Lylina, Stefan Holst, Hanieh Jafarzadeh, Alexandra Kourfali, Hans-Joachim Wunderlich Exploiting the Error Resilience of the Preconditioned Conjugate Gradient Method for Energy and Delay Optimization. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Marcel Sarraseca, Sergi Alcaide, Francisco Fuentes, Juan Carlos Rodriguez, Feng Chang, Ilham Lasfar, Ramon Canal, Francisco J. Cazorla, Jaume Abella 0001 SafeLS: An Open Source Implementation of a Lockstep NOEL-V RISC-V Core. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Styliani Tompazi, Georgios Karakonstantis Microarchitecture-Aware Timing Error Prediction via Deep Neural Networks. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Paolo Bernardi, Lorenzo Cardone, Giusy Iaria, Davide Appello, Giuseppe Garozzo, Vincenzo Tancorre About the Correlation between Logical Identified Faulty Gates and their Layout Characteristics. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Yusei Honda, Yutaka Masuda, Tohru Ishihara Feedback-Tuned Fuzzing for Accelerating Quality Verification of Approximate Computing Design. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Fabian Vargas 0001, Douglas Borba, Juliano Benfica, Rizwan Tariq Syed Artificial Neural Network Accelerator for Classification of In-Field Conducted Noise in Integrated Circuits' DC Power Lines. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Maryam Esmaeilian, Aghiles Douadi, Zahra Kazemi, Vincent Beroulle, Amir-Pasha Mirbaha, Mahdi Fazeli, Elena Ioana Vatajelu, Paolo Maistri, Giorgio Di Natale Experimental Evaluation of Delayed-Based Detectors Against Power-off Attack. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Gaurav Kumar, Anjum Riaz, Yamuna Prasad, Satyadev Ahlawat On Evaluating the Security of Dynamic Scan Obfuscation Scheme. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Seyedeh Maryam Ghasemi, Sergej Meschkov, Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori SLM ISA and Hardware Extensions for RISC-V Processors. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Yunus Emre Aslan, Florian Cacho, T. Kumar, D. K. Janardan, A. Kumar, F. Giner, M. Faurichon, Lorena Anghel Minimum SRAM Retention Voltage: Insight about optimizing Power Efficiency across Temperature Profile, Process Variation and Aging. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Wouter Legiest, Furkan Turan, Michiel Van Beirendonck, Jan-Pieter D'Anvers, Ingrid Verbauwhede Neural Network Quantisation for Faster Homomorphic Encryption. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Georgios Chatzitsompanis, Georgios Karakonstantis On the Facilitation of Voltage Over-Scaling and Minimization of Timing Errors in Floating-Point Multipliers. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Riku Iwamoto, Masanori Hashimoto Avoiding Soft Error-Induced Illegal Memory Accesses in GPU with Inter-Thread Communication. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Nasr-Eddine Ouldei Tebina, Laurent Maingault, Nacer-Eddine Zergainoh, Guillaume Hubert, Paolo Maistri Ray-Spect: Local Parametric Degradation for Secure Designs: An application to X-Ray Fault Injection. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Pierre-Antoine Tissot, Lilian Bossuet, Vincent Grosso BALoo: First and Efficient Countermeasure Dedicated to Persistent Fault Attacks. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Aghiles Douadi, Giorgio Di Natale, Paolo Maistri, Elena Ioana Vatajelu, Vincent Beroulle A Study of High Temperature Effects on Ring Oscillator Based Physical Unclonable Functions. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Shotaro Sugitani, Ryuichi Nakajima, Takafumi Ito, Jun Furuta, Kazutoshi Kobayashi, Mathieu Louvat, Francois Jacquet, Jean-Christophe Eloy, Olivier Montfort, Lionel Jure, Vincent Huard Radiation Hardness Evaluations of a Stacked Flip Flop in a 22 nm FD-SOI Process by Heavy-Ion Irradiation. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Gabriele Gavarini, Annachiara Ruospo, Ernesto Sánchez 0001 Evaluation and Mitigation of Faults Affecting Swin Transformers. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Z. Zhang, Zhihang Wu, Christian Weis, Norbert Wehn, Mehdi Baradaran Tahoori A Learning-Based Approach for Single Event Transient Analysis in Pass Transistor Logic. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Harish Dixit Keytone: Silent Data Corruptions at Scale. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44George Papadimitriou 0001, Dimitris Gizopoulos, Harish Dattatraya Dixit, Sriram Sankar Silent Data Corruptions: The Stealthy Saboteurs of Digital Integrity. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Chandramouli N. Amarnath, Abhijit Chatterjee A Novel Approach to Error Resilience in Online Reinforcement Learning. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Hardi Selg, Maksim Jenihhin, Peeter Ellervee, Jaan Raik ML-Based Online Design Error Localization for RISC-V Implementations. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Raghunandana K. K, B. K. S. V. L. Varaprasad, Matteo Sonza Reorda, Virendra Singh TREFU: An Online Error Detecting and Correcting Fault Tolerant GPGPU Architecture. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Raj Kumar Choudhary, Janeel Patel, Virendra Singh ERrOR: Improving Performance and Fault Tolerance Using Early Execution. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Jose Cayo, Matias Melivilu, Antonio Rubio 0001, Ioannis Vourkas On the Development of Prognostics and System Health Management (PHM) Techniques for ReRAM Applications. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Ioannis Tsounis, Dimitris Agiakatsikas, Mihalis Psarakis Detecting Hardware Faults in Approximate Adders via Minimum Redundancy. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Mahya Morid Ahmadi, Lilas Alrahis, Ozgur Sinanoglu, Muhammad Shafique 0001 ShapeShifter: Protecting FPGAs from Side-Channel Attacks with Isofunctional Heterogeneous Modules. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Corrado De Sio, Daniele Rizzieri, Andrea Portaluri, Salvatore Gabriele La Greca, Sarah Azimi Radiation-Induced Errors in the Software Level of Real-Time Soft Processing System. Search on Bibsonomy IOLTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
44Alessandro Savino, Paolo Rech, Stefano Di Carlo, Dimitris Gizopoulos (eds.) 28th IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2022, Torino, Italy, September 12-14, 2022 Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Mahdi Taheri, Saeideh Sheikhpour, Ali Mahani 0001, Maksim Jenihhin A Novel Fault-Tolerant Logic Style with Self-Checking Capability. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Ryuichi Nakajima, Kazuya Ioki, Jun Furuta, Kazutoshi Kobayashi Radiation Hardened Flip-Flops Minimizing Area, Power, and Delay Overheads with 1/100 Lower α-SER in a 130 nm Bulk Process. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Tiziano Fiorucci, Giorgio Di Natale, Jean-Marc Daveau, Philippe Roche Software Product Reliability Based on Basic Block Metrics Recomposition. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Kevin Hector, Pierre-Alain Moëllic, Mathieu Dumont, Jean-Max Dutertre A Closer Look at Evaluating the Bit-Flip Attack Against Deep Neural Networks. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Marco Grossi, Martin Omaña 0001, Daniele Rossi 0001, Biagio Marzulli, Cecilia Metra Novel BTI Robust Ring-Oscillator-Based Physically Unclonable Function. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Arjun Chaudhuri, Sanmitra Banerjee, Krishnendu Chakrabarty Structural Test Generation for AI Accelerators using Neural Twins. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Nooshin Nosrati, Maksim Jenihhin, Zainalabedin Navabi MLC: A Machine Learning Based Checker For Soft Error Detection In Embedded Processors. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Hossein Sayadi, Mehrdad Aliasgari, Furkan Aydin, Seetal Potluri, Aydin Aysu, Jack Edmonds 0002, Sara Tehranipoor Towards AI-Enabled Hardware Security: Challenges and Opportunities. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Md. Nazmul Islam, Sandip Kundu A Software Approach Towards Defeating Power Management Side Channel Leakage. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Niccolò Cavagnero, Fernando Fernandes dos Santos, Marco Ciccone, Giuseppe Averta, Tatiana Tommasi, Paolo Rech Transient-Fault-Aware Design and Training to Enhance DNNs Reliability with Zero-Overhead. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Giovanni Corrente, Nella Bentivegna, Sebastiano Russo Power Cycling Body Diode Current Flow on SiC MOSFET Device. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Valentin Martinoli, Yannick Teglia, Abdellah Bouagoun, Régis Leveugle Recovering Information on the CVA6 RISC-V CPU with a Baremetal Micro-Architectural Covert Channel. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Chandramouli N. Amarnath, Mohamed Mejri, Kwondo Ma, Abhijit Chatterjee Soft Error Resilient Deep Learning Systems Using Neuron Gradient Statistics. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Angeliki Kritikakou, Panagiota Nikolaou, Ivan Rodriguez-Ferrandez, Joseph Paturel, Leonidas Kosmidis, Maria K. Michael, Olivier Sentieys, David Steenari Functional and Timing Implications of Transient Faults in Critical Systems. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Kota Hisafuru, Kazunari Takasaki, Nozomu Togawa An Anomalous Behavior Detection Method for IoT Devices Based on Power Waveform Shapes. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Kazuki Yamashita, Tomohiro Kato, Kento Hasegawa, Seira Hidano, Kazuhide Fukushima, Nozomu Togawa Effective Hardware-Trojan Feature Extraction Against Adversarial Attacks at Gate-Level Netlists. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Krishnendu Guha, Sangeet Saha, Klaus D. McDonald-Maier SENAS: Security driven ENergy Aware Scheduler for Real Time Approximate Computing Tasks on Multi-Processor Systems. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Gaurav Kumar, Anjum Riaz, Yamuna Prasad, Satyadev Ahlawat On Attacking IJTAG Architecture based on Locking SIB with Security LFSR. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Juan-David Guerrero-Balaguera, Robert Limas Sierra, Matteo Sonza Reorda Effective fault simulation of GPU's permanent faults for reliability estimation of CNNs. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Nicolò Bellarmino, Riccardo Cantoro, Martin Huch, Tobias Kilian, Ulf Schlichtmann, Giovanni Squillero Microcontroller Performance Screening: Optimizing the Characterization in the Presence of Anomalous and Noisy Data. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Ivan Rodriguez-Ferrandez, Maris Tali, Leonidas Kosmidis, Marta Rovituso, David Steenari Sources of Single Event Effects in the NVIDIA Xavier SoC Family under Proton Irradiation. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Fernando Fernandes dos Santos, Angeliki Kritikakou, Olivier Sentieys Experimental evaluation of neutron-induced errors on a multicore RISC-V platform. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Surendra Hemaram, Mahta Mayahinia, Mehdi B. Tahoori Adaptive Block Error Correction for Memristive Crossbars. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Betis Baheri, Qiang Guan, Vipin Chaudhary, Ang Li 0006 Quantum Noise in the Flow of Time: A Temporal Study of the Noise in Quantum Computers. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Michael Sekyere, Marampally Saikiran, Degang Chen 0001 All Digital Low-Cost Built-in Defect Testing Strategy for Operational Amplifiers with High Coverage. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Franco Oberti, Ernesto Sánchez 0001, Alessandro Savino, Filippo Parisi, Mirco Brero, Stefano Di Carlo LIN-MM: Multiplexed Message Authentication Code for Local Interconnect Network message authentication in road vehicles. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Anu Bala, Saurabh Khandelwal, Abusaleh M. Jabir, Marco Ottavi Yield Evaluation of Faulty Memristive Crossbar Array-based Neural Networks with Repairability. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Andrea Portaluri, Sarah Azimi, Corrado De Sio, Luca Sterpone, David Merodio Codinachs Radiation-induced Effects on DMA Data Transfer in Reconfigurable Devices. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Christos Zonios, Vasileios Tenentes REVOLVER: A Zero-Step Execution Emulation Framework for Mitigating Power Side-Channel Attacks on ARM64. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Gabriele Gavarini, Diego Stucchi, Annachiara Ruospo, Giacomo Boracchi, Ernesto Sánchez 0001 Open-Set Recognition: an Inexpensive Strategy to Increase DNN Reliability. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Amalia-Artemis Koufopoulou, Kalliopi Xevgeni, Athanasios Papadimitriou, Mihalis Psarakis, David Hély Security and Reliability Evaluation of Countermeasures implemented using High-Level Synthesis. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Nadir Casciola, Edoardo Giusto, Emanuele Dri, Daniel Oliveira 0002, Paolo Rech, Bartolomeo Montrucchio Understanding the Impact of Cutting in Quantum Circuits Reliability to Transient Faults. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44William Souza da Cruz, Raphael Viera 0001, Jean-Baptiste Rigaud, Guillaume Hubert, Jean-Max Dutertre An Experimentally Tuned Compact Electrical Model for Laser Fault Injection Simulation. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Georg Duchrau, Michael Gössel A New Decoding Method for Double Error Correcting Cross Parity Codes. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Shamik Kundu, Kanad Basu Detecting Functional Safety Violations in Online AI Accelerators. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Bijay Raj Paudel, Spyros Tragoudas Compressed Learning in MCA Architectures to Tolerate Malicious Noise. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44Paolo Bernardi, Riccardo Cantoro, Anthony Coyette, W. Dobbeleare, Moritz Fieback, Andrea Floridia, G. Gielenk, Jhon Gomez, Michelangelo Grosso, Andrea Guerriero, Iacopo Guglielminetti, Said Hamdioui, Giorgio Insinga, N. Mautone, Nunzio Mirabella, Sandro Sartoni, Matteo Sonza Reorda, Rudolf Ullmann, Ronny Vanhooren, N. Xamak, Lizhou Wu Recent Trends and Perspectives on Defect-Oriented Testing. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
44 27th IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2021, Torino, Italy, June 28-30, 2021 Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Davide Appello, H. H. Chen, Matthias Sauer 0002, Ilia Polian, Paolo Bernardi, Matteo Sonza Reorda System-Level Test: State of the Art and Challenges. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Oriol Sala, Sergi Alcaide, Guillem Cabo, Francisco Bas, Ruben Lorenzo, Pedro Benedicte, David Trilla, Guillermo Gil, Fabio Mazzocchetti, Jaume Abella 0001 SafeTI: a Hardware Traffic Injector for MPSoC Functional and Timing Validation. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Tiziano Fiorucci, Jean-Marc Daveau, Giorgio Di Natale, Philippe Roche Automated Dysfunctional Model Extraction for Model Based Safety Assessment of Digital Systems. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Saurabh Khandelwal, Marco Ottavi, Eugenio Martinelli, Abusaleh M. Jabir A Memristive Architecture for Process Variation Aware Gas Sensing and Logic Operations. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Yifeng Gao, Hosein Mohammadi Makrani, Mehrdad Aliasgari, Amin Rezaei 0001, Jessica Lin 0001, Houman Homayoun, Hossein Sayadi Adaptive-HMD: Accurate and Cost-Efficient Machine Learning-Driven Malware Detection using Microarchitectural Events. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Chih-Hao Wang, Natalia Lylina, Ahmed Atteya, Tong-Yu Hsieh, Hans-Joachim Wunderlich Concurrent Test of Reconfigurable Scan Networks for Self-Aware Systems. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Yukiya Miura, Shingo Tsutsumi A Method for Measuring Process Variations in the FPGA Chip Considering the Effect of Wire Delay. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Franco Oberti, Ernesto Sánchez 0001, Alessandro Savino, Filippo Parisi, Stefano Di Carlo TAURUM P2T: Advanced Secure CAN-FD Architecture for Road Vehicle. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Bastien Deveautour, Marcello Traiola, Arnaud Virazel, Patrick Girard 0001 Reducing Overprovision of Triple Modular Reduncancy Owing to Approximate Computing. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Andrea Portaluri, Corrado De Sio, Sarah Azimi, Luca Sterpone A New Domains-based Isolation Design Flow for Reconfigurable SoCs. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Kazunari Takasaki, Ryoichi Kida, Nozomu Togawa An Anomalous Behavior Detection Method Based on Power Analysis Utilizing Steady State Power Waveform Predicted by LSTM. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Annachiara Ruospo, Davide Piumatti, Andrea Floridia, Ernesto Sánchez 0001 A Suitability Analysis of Software Based Testing Strategies for the On-line Testing of Artificial Neural Networks Applications in Embedded Devices. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Sangeet Saha, Adewale Adetomi, Xiaojun Zhai, Server Kasap, Shoaib Ehsan, Tughrul Arslan, Klaus D. McDonald-Maier EnSuRe: Energy & Accuracy Aware Fault-tolerant Scheduling on Real-time Heterogeneous Systems. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Kazuki Yoshisue, Yutaka Masuda, Tohru Ishihara Dynamic Verification of Approximate Computing Circuits using Coverage-based Grey-box Fuzzing. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Mitko Veleski, Michael Hübner 0001, Milos Krstic, Rolf Kraemer Towards Error Resilient and Power-Efficient Adaptive Multiprocessor System using Highly Configurable and Flexible Cross-Layer Framework. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Sree Rama K. C. Saraswatula, Santosh Yachareni, Shidong Zhou, Narendra Kumar Pulipati, Joy Chen, Teja Masina Robust Adaptive Read Scheme for 7nm Configuration SRAMs. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Felipe Augusto da Silva, Ahmet Cagri Bagbaba, Said Hamdioui, Christian Sauer 0001 Flip Flop Weighting: A technique for estimation of safety metrics in Automotive Designs. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Riccardo Cantoro, Patrick Girard 0001, Riccardo Masante, Sandro Sartoni, Matteo Sonza Reorda, Arnaud Virazel Self-Test Libraries Analysis for Pipelined Processors Transition Fault Coverage Improvement. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Chandramouli N. Amarnath, Md Imran Momtaz, Abhijit Chatterjee Addressing Soft Error and Security Threats in DNNs Using Learning Driven Algorithmic Checks. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Yuya Isaka, Foisal Ahmed, Michihiro Shintani, Michiko Inoue Unsupervised Recycled FPGA Detection Based on Direct Density Ratio Estimation. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Francisco Bas, Sergi Alcaide, Ruben Lorenzo, Guillem Cabo, Guillermo Gil, Oriol Sala, Fabio Mazzocchetti, David Trilla, Jaume Abella 0001 SafeDE: a flexible Diversity Enforcement hardware module for light-lockstepping. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
44Tatsuki Kurihara, Nozomu Togawa Hardware-Trojan Classification based on the Structure of Trigger Circuits Utilizing Random Forests. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1100 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license