|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 10061 occurrences of 3641 keywords
|
|
|
Results
Found 17347 publication records. Showing 17347 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
72 | Vijay Raghunathan, Srivaths Ravi 0001, Ganesh Lakshminarayana |
High-Level Synthesis with Variable-Latency Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 220-227, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
variable latency units, data dependent computation, area-delay tradeoffs, High-level synthesis, performance optimization |
72 | Arjan Durresi, Gojko Babic, Raj Jain |
Measurement of ATM Frame Latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 614-619, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
ATM frame latency measurement, MIMO latency, message-in message-out latency, latency metrics, continuous frame technologies, measurement results, switch performance, MIMO aggregation, network element, network interconnections, quality of service, asynchronous transfer mode, MIMO systems, telecommunication networks, QoS parameters, network devices |
58 | Hui Zhang 0002, Ashish Goel, Ramesh Govindan |
Improving lookup latency in distributed hash table systems using random sampling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 13(5), pp. 1121-1134, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
latency expansion, latency stretch, peer-to-peer, randomized algorithm, distributed hash table (DHT), random sampling, internet topology |
54 | Vijay Raghunathan, Srivaths Ravi 0001, Ganesh Lakshminarayana |
Integrating variable-latency components into high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(10), pp. 1105-1117, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
54 | Efstratios Thomopoulos, Louise E. Moser, P. M. Melliar-Smith |
Analyzing the latency of the Totem multicast protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the International Conference On Computer Communications and Networks (ICCCN 1997), September 22-25, 1997 Las Vegas, NV, USA, pp. 42-50, 1997, IEEE Computer Society, 0-8186-8186-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Totem multicast protocols, multicast group communication protocols, latency analysis, reliable totally ordered delivery, logical token-passing ring, single-ring network, two-ring network, four-ring network, multiple-ring networks, mean latency, latency distribution, distributed systems, protocols, local area networks, LAN, probability density functions, gateways, protocols performance, message delivery, message filtering |
50 | Jason P. Luck, Patricia L. McDermott, Laurel Allender, Deborah C. Russell |
An investigation of real world control of robotic assets under communication latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the 1st ACM SIGCHI/SIGART Conference on Human-Robot Interaction, HRI 2006, Salt Lake City, Utah, USA, March 2-3, 2006, pp. 202-209, 2006, ACM, 1-59593-294-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
control and level of automation, communication, robotics, delay, latency, teleoperation |
50 | Tim Roughgarden |
The price of anarchy is independent of the network topology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings on 34th Annual ACM Symposium on Theory of Computing, May 19-21, 2002, Montréal, Québec, Canada, pp. 428-437, 2002, ACM, 1-58113-495-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
47 | Tom Beigbeder, Rory Coughlan, Corey Lusher, John Plunkett, Emmanuel Agu, Mark Claypool |
The effects of loss and latency on user performance in unreal tournament 2003®. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETGAMES ![In: Proceedings of the 3rd Workshop on Network and System Support for Games, NETGAMES 2004, Portland, Oregon, USA, August 30, 2004, pp. 144-151, 2004, ACM, 1-58113-942-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
latency, network games, loss |
47 | Yaoping Ruan, Vivek S. Pai |
The origins of network server latency & the myth of connection scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2004, June 10-14, 2004, New York, NY, USA, pp. 424-425, 2004, ACM, 1-58113-873-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
connection scheduling, latency, network server |
47 | Hui Zhang 0002, Ashish Goel, Ramesh Govindan |
Incrementally improving lookup latency in distributed hash table systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2003, June 9-14, 2003, San Diego, CA, USA, pp. 114-125, 2003, ACM, 1-58113-664-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
latency stretch, peer-to-peer, DHT, random sampling |
46 | Jonas Skeppstedt |
Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), San Francisco, CA, USA, October 11-15, 1997, pp. 272-, 1997, IEEE Computer Society, 0-8186-8090-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis |
43 | Nima Sarshar, Behnam Attaran Rezaei, Vwani P. Roychowdhury |
Low latency wireless ad hoc networking: power and bandwidth challenges and a solution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 16(2), pp. 335-346, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-resolution randomized hierarchy, wireless ad hoc networks, network latency, scalable routing |
43 | James Nichols, Mark Claypool |
The effects of latency on online madden NFL football. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOSSDAV ![In: Network and Operating System Support for Digital Audio and Video, 14th International Workshop, NOSSDAV 2004, Cork, Ireland, June 16-18, 2004, Proceedings, pp. 146-151, 2004, ACM, 1-58113-801-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
latency compensation, network games |
43 | Katerina Mania, Bernard D. Adelstein, Stephen R. Ellis, Michael I. Hill |
Perceptual sensitivity to head tracking latency in virtual environments with varying degrees of scene complexity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APGV ![In: Proceedings of the 1st Symposium on Applied Perception in Graphics and Visualization, APGV 2004, Los Angeles, California, USA, August 7-8, 2004, pp. 39-47, 2004, ACM, 1-58113-914-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
sensitivity thresholds, simulations, latency |
43 | Michael Meehan, Sharif Razzaque, Mary C. Whitton, Frederick P. Brooks Jr. |
Effect of Latency on Presence in Stressful Virtual Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality Conference 2003 (VR 2003), 22-26 March 2003, Los Angeles, CA, USA, Proceedings, pp. 141-148, 2003, IEEE Computer Society, 0-7695-1882-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
virtual environments, latency, presence, frame rate, physiological measures, simulator sickness |
43 | Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson |
Latency-tolerant software pipelining in a production compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 104-113, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic |
43 | Tim Roughgarden |
How unfair is optimal routing? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Thirteenth Annual ACM-SIAM Symposium on Discrete Algorithms, January 6-8, 2002, San Francisco, CA, USA., pp. 203-204, 2002, ACM/SIAM, 0-89871-513-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
40 | Amir Hossein Ghamarian, Sander Stuijk, Twan Basten, Marc Geilen, Bart D. Theelen |
Latency Minimization for Synchronous Data Flow Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2007), 29-31 August 2007, Lübeck, Germany, pp. 189-196, 2007, IEEE Computer Society, 0-7695-2978-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | José R. Correa, Andreas S. Schulz, Nicolás E. Stier Moses |
Computational Complexity, Fairness, and the Price of Anarchy of the Maximum Latency Problem: Extended Abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCO ![In: Integer Programming and Combinatorial Optimization, 10th International IPCO Conference, New York, NY, USA, June 7-11, 2004, Proceedings, pp. 59-73, 2004, Springer, 3-540-22113-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Anthony Steed |
A simple method for estimating the latency of interactive, real-time graphics simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2008, Bordeaux, France, October 27-29, 2008, pp. 123-129, 2008, ACM, 978-1-59593-951-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
performance, system design, latency, interactive systems, real-time graphics |
40 | HyunGon Kim, ByeongKyun Oh |
Secure and low latency handoff scheme for proxy mobile IPv6. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 5th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2008, Yilan, Taiwan, September 10-12, 2008, pp. 71, 2008, ACM, 978-1-60558-089-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
MAG, low latency handoff, session, AAA, proxy mobile IPv6 |
40 | Jin Zhou, Li Tang, Kai Li, Hao Wang, Zhizhi Zhou |
A Low-Latency Peer-to-Peer Approach for Massively Multiplayer Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AP2PC ![In: Agents and Peer-to-Peer Computing, 4th International Workshop, AP2PC 2005, Utrecht, The Netherlands, July 25, 2005, Revised Papers, pp. 120-131, 2005, Springer, 3-540-49025-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MMGs, Smart manager, P2P, DHT, Low latency |
40 | Mainak Chaudhuri, Mark A. Heinrich, Chris Holt, Jaswinder Pal Singh, Edward Rothberg, John L. Hennessy |
Latency, Occupancy, and Bandwidth in DSM Multiprocessors: A Performance Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(7), pp. 862-880, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
flexible node controller, latency, bandwidth, queuing model, Occupancy, distributed shared memory multiprocessors, communication controller |
40 | Efstratios Thomopoulos, Louise E. Moser, P. M. Melliar-Smith |
Latency analysis of the totem single-ring protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 9(5), pp. 669-680, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Message delivery latency, probability density functions, multicast protocols, performance modeling and analysis |
40 | Joan-Manuel Parcerisa, Antonio González 0001 |
Improving Latency Tolerance of Multithreading through Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(10), pp. 1084-1094, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity |
39 | Tim Roughgarden, Éva Tardos |
How bad is selfish routing? ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 49(2), pp. 236-259, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Braess's Paradox, network flow, Nash equilibria, selfish routing |
36 | Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, Tsutomu Yoshinaga |
Prediction router: Yet another low latency on-chip router architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 367-378, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Jason Jerald, Mary C. Whitton |
Relating Scene-Motion Thresholds to Latency Thresholds for Head-Mounted Displays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality Conference 2009 (VR 2009), 14-18 March 2009, Lafayette, Louisiana, USA, Proceedings, pp. 211-218, 2009, IEEE Computer Society, 978-1-4244-3943-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy |
Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fifth International Symposium on Code Generation and Optimization (CGO 2007), 11-14 March 2007, San Jose, California, USA, pp. 232-243, 2007, IEEE Computer Society, 978-0-7695-2764-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Anwitaman Datta, Ion Stoica, Michael J. Franklin |
LagOver: Latency Gradated Overlays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 27th IEEE International Conference on Distributed Computing Systems (ICDCS 2007), June 25-29, 2007, Toronto, Ontario, Canada, pp. 13, 2007, IEEE Computer Society, 0-7695-2837-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Web 2.0/RSS, Algorithms, Peer-to-Peer, Overlay Networks, Heterogeneity, Information dissemination |
36 | Vu-Duc Ngo, Huy Nam Nguyen, Hae-Wook Choi |
Designing On-Chip Network Based on Optimal Latency Criteria. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, Second International Conference, ICESS 2005, Xi'an, China, December 16-18, 2005, Proceedings, pp. 287-298, 2005, Springer, 3-540-30881-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Peng-Jun Wan, Scott C.-H. Huang, Lixin Wang, Zhiyuan Wan, Xiaohua Jia |
Minimum-latency aggregation scheduling in multihop wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 10th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2009, New Orleans, LA, USA, May 18-21, 2009, pp. 185-194, 2009, ACM, 978-1-60558-531-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
approximation algorithms, aggregation, communication latency |
36 | Sharad Agarwal, Jacob R. Lorch |
Matchmaking for online games and other latency-sensitive P2P systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 2009 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, Barcelona, Spain, August 16-21, 2009, pp. 315-326, 2009, ACM, 978-1-60558-594-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
latency estimation, online gaming, matchmaking, network coordinates |
36 | Milan Jovic, Matthias Hauswirth |
Measuring the performance of interactive applications with listener latency profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 6th International Symposium on Principles and Practice of Programming in Java, PPPJ 2008, Modena, Italy, September 9-11, 2008, pp. 137-146, 2008, ACM, 978-1-60558-223-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
listeners, GUI, profiling, latency |
36 | Yanjun Sun, Shu Du, Omer Gurewitz, David B. Johnson 0001 |
DW-MAC: a low latency, energy efficient demand-wakeup MAC protocol for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 9th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2008, Hong Kong, China, May 26-30, 2008, pp. 53-62, 2008, ACM, 978-1-60558-073-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
broadcast traffic, unicast traffic, sensor networks, medium access control, latency, energy, duty cycling |
36 | Jeremy F. Villalobos, Barry Wilkinson |
Latency hiding by redundant processing: a technique for grid-enabled, iterative, synchronous parallel programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mardi Gras Conference ![In: Proceedings of the 15th ACM Mardi Gras conference: From lightweight mash-ups to lambda grids: Understanding the spectrum of distributed computing requirements, applications, tools, infrastructures, interoperability, and the incremental adoption of key capabilities, Baton Rouge, Louisiana, USA, January 29 - February 3, 2008, pp. 13, 2008, ACM, 978-1-59593-835-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel, grid, synchronous, latency hiding |
36 | Diane Lingrand, Johan Montagnat, Tristan Glatard |
Modeling the Latency on Production Grids with Respect to the Execution Context. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 8th IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2008), 19-22 May 2008, Lyon, France, pp. 753-758, 2008, IEEE Computer Society, 978-0-7695-3156-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
production grid, latency model |
36 | N. A. Vasanthi, S. Annadurai |
Energy Efficient Sleep Schedule for Achieving Minimum Latency in Query based Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SUTC (2) ![In: IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC 2006), 5-7 June 2006, Taichung, Taiwan, pp. 214-219, 2006, IEEE Computer Society, 0-7695-2553-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Sleep planning, Sensor networks, Query processing, Latency, Energy consumption, MAC protocols |
36 | Yuanfang Hu, Yi Zhu 0002, Hongyu Chen, Ronald L. Graham, Chung-Kuan Cheng |
Communication latency aware low power NoC synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 574-579, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
network-on-chip, topology, power, latency |
36 | Özgür B. Akan, Buyurman Baykal |
Handoff Performance Improvement with Latency Reduction in Next Generation Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Networks ![In: Wirel. Networks 11(3), pp. 319-332, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
handoff latency, handoff call blocking, cellular networks, QoS provisioning, handoff management |
36 | Mohamed R. Fouad, Sonia Fahmy, Gopal Pandurangan |
Latency-sensitive power control for wireless ad-hoc networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Q2SWinet ![In: Q2SWinet'05 - Proceedings of the First ACM Workshop on Q2S and Security for Wireless and Mobile Networks, Montreal, Quebec, Canada, October 13, 2005, pp. 31-38, 2005, ACM, 1-59593-241-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
ad-hoc networks, wireless networks, medium access control, latency, power control, network connectivity |
36 | Byung Kook Kim |
Task Scheduling with Feedback Latency for Real-Time Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 5th International Workshop on Real-Time Computing Systems and Applications (RTCSA '98), 27-29 October 1998, Hiroshima, Japan, pp. 37-41, 1998, IEEE Computer Society, 0-8186-9209-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Feedback latency, performance index, task-scheduling, real-time control |
36 | Toshinori Sato, Shingo Watanabe |
Instruction Scheduling for Variation-Originated Variable Latencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 361-364, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations |
33 | Serkan Ozdemir, Arindam Mallik, Ja Chun Ku, Gokhan Memik, Yehea I. Ismail |
Variable latency caches for nanoscale processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 20, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Marudachalam Dhanaraj, S. Jayashree, C. Siva Ram Murthy |
A Novel Battery Aware MAC Protocol for Minimizing Energy × Latency in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2005, 12th International Conference, Goa, India, December 18-21, 2005, Proceedings, pp. 312-321, 2005, Springer, 3-540-30936-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
33 | Sobeeh Almukhaizim, Petros Drineas, Yiorgos Makris |
On Concurrent Error Detection with Bounded Latency in FSMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 596-603, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Mani B. Srivastava, Miodrag Potkonjak |
Optimum and heuristic transformation techniques for simultaneous optimization of latency and throughput. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 3(1), pp. 2-19, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
33 | Glenn Leary, Karam S. Chatha |
Automated technique for design of NoC with minimal communication latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2009, Grenoble, France, October 11-16, 2009, pp. 471-480, 2009, ACM, 978-1-60558-628-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
best-effort communication, network-on-chip, synthesis, latency |
33 | Andriy Pavlovych, Wolfgang Stürzlinger |
The tradeoff between spatial jitter and latency in pointing tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EICS ![In: Proceedings of the 1st ACM SIGCHI symposium on Engineering Interactive Computing System , EICS 2009, Pittsburgh, PA, USA, July 15-17, 2009, pp. 187-196, 2009, ACM, 978-1-60558-600-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
latency, fitts' law, jitter, pointing |
33 | Ashvin Goel, Charles Krasic, Jonathan Walpole |
Low-latency adaptive streaming over tcp. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Multim. Comput. Commun. Appl. ![In: ACM Trans. Multim. Comput. Commun. Appl. 4(3), pp. 20:1-20:20, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low latency streaming, TCP, multimedia applications |
33 | Liliana Cucu, Nicolas Pernet, Yves Sorel |
Periodic real-time scheduling: from deadline-based model to latency-based model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 159(1), pp. 41-51, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Scheduling, Real-time, Latency, Deadline, Periodicity, Non-preemptive |
33 | Wei Lai, Ioannis Ch. Paschalidis |
Optimally balancing energy consumption versus latency in sensor network routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Sens. Networks ![In: ACM Trans. Sens. Networks 4(4), pp. 21:1-21:28, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
energy and resource management, Sensor networks, routing, latency, sleeping schedule |
33 | Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh |
On the potential of latency tolerant execution in speculative multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, IFMT 2008, Cairo, Egypt, November 24-25, 2008, pp. 3, 2008, ACM, 978-1-60558-407-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors |
33 | Geunhyung Kim |
Low Latency Cross Layer Handover Scheme in Proxy Mobile IPv6 Domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEW2AN ![In: Next Generation Teletraffic and Wired/Wireless Advanced Networking, 8th International Conference, NEW2AN and 1st Russian Conference on Smart Spaces, ruSMART 2008, St. Petersburg, Russia, September 3-5, 2008. Proceedings, pp. 110-121, 2008, Springer, 978-3-540-85499-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Ubiquitous Mobile Network, Packet Reception Latency, Mobile IPv6, Proxy Mobile IPv6, Seamless Handover |
33 | Wei Lai, Ioannis Ch. Paschalidis |
Sensor network minimal energy routing with latency guarantees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 8th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2007, Montreal, Quebec, Canada, September 9-14, 2007, pp. 199-208, 2007, ACM, 978-1-59593-684-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
energy and resource management, sensor networks, routing, latency, large deviations, sleeping schedule |
33 | Fanrui Kong, Chunwen Li, Xuedong Zhao, Qingqing Ding, Fei Jiao, Qibin Gu |
An Energy-Efficient and Low-Latency Sink Positioning Approach for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 123-134, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
sink position, lifespan, wireless sensor networks, energy-efficient, low-latency |
33 | Yuxia Yao, Xueyan Tang, Ee-Peng Lim, Aixin Sun |
An Energy-Efficient and Access Latency Optimized Indexing Scheme for Wireless Data Broadcast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 18(8), pp. 1111-1124, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, mobile computing, indexing, latency, energy conservation, Wireless data broadcast |
33 | Shahram Ghandeharizadeh, Shyam Kapadia, Bhaskar Krishnamachari |
An evaluation of availability latency in carrier-based wehicular ad-hoc networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiDE ![In: Fifth ACM International Workshop on Data Engineering for Wireless and Mobile Access, Mobide 2006, June 25, 2006, Chicago, IL, USA, Proceedings, pp. 75-82, 2006, ACM, 1-59593-436-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
data carriers, mobility, latency, Markov model, AutoMata, vehicular networks, replacement policy |
33 | Young-Sin Cho, Eun-Ju Choi, Kyoung-Rok Cho |
Modeling and analysis of the system bus latency on the SoC platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Eigth International Workshop on System-Level Interconnect Prediction (SLIP 2006), Munich, Germany, March 4-5, 2006, Proceedings, pp. 67-74, 2006, ACM, 1-59593-255-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multi-layer bus, system bus, SoC, latency, platform |
33 | Yutaka Ishibashi, Hiroyuki Kaneoka |
Fairness among game players in networked haptic environments influence of network latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, ICME 2005, July 6-9, 2005, Amsterdam, The Netherlands, pp. 57-60, 2005, IEEE Computer Society, 0-7803-9331-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
haptic interface device, game player fairness, real-time game, network latency |
33 | Neeraj Mittal, Subbarayan Venkatesan, Sathya Peri |
Message-Optimal and Latency-Optimal Termination Detection Algorithms for Arbitrary Topologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 18th International Conference, DISC 2004, Amsterdam, The Netherlands, October 4-7, 2004, Proceedings, pp. 290-304, 2004, Springer, 3-540-23306-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
quiescence detection, optimal message complexity, optimal detection latency, termination detection |
33 | Wenbing Zhao 0001, Louise E. Moser, P. M. Melliar-Smith |
End-to-End Latency of a Fault-Tolerant CORBA Infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Object-Oriented Real-Time Distributed Computing ![In: 5th International Symposiun on Object Oriented Real-Time Distributed Computing, ISORC 2002, Washington, DC, USA, April 29 - May 1, 2002, pp. 189-198, 2002, IEEE Computer Society, 0-7695-1558-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Multicast Group Communication Protocol, Fault Tolerance, CORBA, Probability Density Function, End-to-End Latency |
33 | Henk Neefs, Hans Vandierendonck, Koenraad De Bosschere |
A Technique for High Bandwidth and Deterministic Low Latency Load/Store Accesses to Multiple Cache Banks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 313-324, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
bank prediction, deterministic latency, cache banks |
33 | Vincenzo Grassi |
Prefetching policies for energy saving and latency reduction in a wireless broadcast data delivery system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSWiM ![In: Proceedings of the 3rd International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2000, Boston, Massachusetts, USA, August 20, 2000, pp. 77-84, 2000, ACM, 1-58113-304-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
simulation, mobile computing, wireless network, caching, prefetching, latency, analytical model, energy saving, data broadcasting |
33 | Chunming Qiao, Rami G. Melhem |
Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(2), pp. 97-108, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
fiber-optical interconnects, time slot interchangers, switching networks, time division multiplexing, Communication latency |
33 | G. Seaman |
A Latency-Hiding MIMD Wavelet Transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), January 24-26, 1996, Portugal, pp. 22-26, 1996, IEEE Computer Society, 0-8186-7376-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
latency-hiding MIMD wavelet transform, systolic processors, MIMD systems, tree-based structure, data-parallel SIMD, synchronous fine-grained communication, data driven algorithm, real-time systems, real time systems, parallel algorithms, parallel algorithm, scalable, parallel processing, wavelet transforms, message passing, message-passing, SIMD, discrete wavelet transform, trees (mathematics), mathematics computing, communication latency |
33 | Seungkweon Jeong, Jaehyun Park, Wook Hyun Kwon, Kang G. Shin |
Evaluation of the communication latency over real-time channel in HARTS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: Third International Workshop on Real-Time Computing Systems Application (RTCSA '96), October 30 - November 01, 1996, Seoul, Korea, pp. 41-48, 1996, IEEE Computer Society, 0-8186-7626-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
HARTS, end-to-end communication latency, hexagonal architecture, intra-node delay, inter-node delay, point-to-point real-time channel, message traffic, arbitration algorithm, VMEbus-based HARTS node, real-time systems, computer architecture, real-time scheduling, computer simulation, communication latency, implementational models, real-time channel |
32 | Luca Abeni, Ashvin Goel, Charles Krasic, Jim Snow, Jonathan Walpole |
A Measurement-Based Analysis of the Real-Time Performance of Linux. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: Proceedings of the 8th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2002), 24-27 September 2002, San Jose, CA, USA, pp. 133-142, 2002, IEEE Computer Society, 0-7695-1739-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
32 | Andreas Petlund, Paul B. Beskow, Jon Pedersen, Espen Søgård Paaby, Carsten Griwodz, Pål Halvorsen |
Improving SCTP retransmission delays for time-dependent thin streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 45(1-3), pp. 33-60, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Latency compensation, Thin streams, Transport protocols, Networked games |
32 | Sridhar Ramakrishnan 0001, Philip J. Rhodes |
Multidimensional Replica Selection in the Data Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 15th IEEE International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006, pp. 373-374, 2006, IEEE, 1-4244-0307-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
disk latency, multidimensional replica selection, file downloading, dataset storage organization, application access pattern, network latency cost reduction, data grid |
32 | Alvin R. Lebeck, Tong Li 0003, Eric Rotenberg, Jinson Koppanalil, Jaidev P. Patwardhan |
A Large, Fast Instruction Window for Tolerating Cache Misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 59-70, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Cache Memory, Memory Latency, Latency Tolerance, Instruction Window |
30 | George J. Valentino, Steve T. Thompson, Todd Kniola, Chris J. Carlisle |
An SMP-Based, Low-Latency, Network Interface Unit and Latency Measurement System: The SNAPpy System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIS-RT ![In: 2nd International Workshop on Distributed Interactive Simulation and Real-Time Applications (DIS-RT '98), 19-20 July 1998, Montreal, Canada, pp. 62-70, 1998, IEEE Computer Society, 0-8186-8594-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
DIS-Lite, EVDAS, NIU, SNAPpy, Symmetric Multi-Processor, VR-Link, HLA, DIS, Windows NT, SNAP |
29 | Ilias Iliadis, Cyriel Minkenberg |
Performance of a speculative transmission scheme for scheduling-latency reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 16(1), pp. 182-195, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
electrooptic switches, scheduling, modeling, packet switching, arbiters |
29 | Jun Ma 0006, Alexander Vardy, Zhongfeng Wang 0001 |
Low-Latency Factorization Architecture for Algebraic Soft-Decision Decoding of Reed-Solomon Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(11), pp. 1225-1238, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Tobias Sielhorst, Wu Sa, Ali Khamene, Frank Sauer, Nassir Navab |
Measurement of absolute latency for video see through augmented reality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMAR ![In: Sixth IEEE/ACM International Symposium on Mixed and Augmented Reality, ISMAR 2007, 13-16 November 2007, Nara, Japan, pp. 215-220, 2007, IEEE Computer Society, 978-1-4244-1749-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Hung-Cuong Le, Hervé Guyennet, Violeta Felea, Noureddine Zerhouni |
A Low Latency MAC Scheme for Event-Driven Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 291-301, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Leslie S. Liu 0002, Roger Zimmermann |
Adaptive low-latency peer-to-peer streaming and its application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 11(6), pp. 497-512, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Tree optimization, Application level multicast, Peer-to-peer streaming, Floor control |
29 | Humaira Kamal, Brad Penoff, Mike Tsai, Edith Vong, Alan Wagner |
Using SCTP to hide latency in MPI programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Stephen Fried |
Innovative technologies III - Topologies for improved InfiniBand latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 289, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Mukund Narasimhan, Paul A. Viola, Michael Shilman |
Online decoding of Markov models under latency constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Machine Learning, Proceedings of the Twenty-Third International Conference (ICML 2006), Pittsburgh, Pennsylvania, USA, June 25-29, 2006, pp. 657-664, 2006, ACM, 1-59593-383-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Chengdu Huang, Gang Zhou, Tarek F. Abdelzaher, Sang Hyuk Son, John A. Stankovic |
Load Balancing in Bounded-Latency Content Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 26th IEEE Real-Time Systems Symposium (RTSS 2005), 6-8 December 2005, Miami, FL, USA, pp. 50-61, 2005, IEEE Computer Society, 0-7695-2490-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Vijay Moorthy, Matthew G. Jacunski, Manoj Pillai, Peter P. Ware, Dhabaleswar K. Panda 0001, Thomas W. Page Jr., P. Sadayappan, V. Nagarajan, Johns Daniel |
Low-Latency Message Passing on Workstation Clusters using SCRAMNet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings, pp. 148-152, 1999, IEEE Computer Society, 0-7695-0143-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
29 | William L. Lynch, Gary Lauterbach, Joseph I. Chamdani |
Low Load Latency Through Sum-Addressed Memory (SAM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 369-379, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Chandramohan A. Thekkath, Henry M. Levy |
Limits to Low-Latency Communication on High-Speed Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 11(2), pp. 179-203, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
host-network interfaces, transport level protocols, ATM networks, remote procedure calls, interprocess communication |
29 | Ram Chillarege, Ravishankar K. Iyer |
The Effect of System Workload on Error Latency: An Experimental Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1985 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Austin, Texas, USA, August 26-29, 1985, pp. 69-77, 1985, ACM, 0-89791-169-5. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
29 | Sirajum Munir, Shan Lin 0001, Enamul Hoque, S. M. Shahriar Nirjon, John A. Stankovic, Kamin Whitehouse |
Addressing burstiness for reliable communication and latency bound generation in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the 9th International Conference on Information Processing in Sensor Networks, IPSN 2010, April 12-16, 2010, Stockholm, Sweden, pp. 303-314, 2010, ACM, 978-1-60558-988-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
latency bound, link burstiness, link interference, real-time applications, reliable transmission |
29 | Paul B. Beskow, Knut-Helge Vik, Pål Halvorsen, Carsten Griwodz |
The partial migration of game state and dynamic server selection to reduce latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 45(1-3), pp. 83-107, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Massively multi-player online games, Server architecture, Latency, Estimation |
29 | Martin Wagener, Ryan Riordan |
System Latency in Linked Spot and Futures Markets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMCIS/SIGeBIZ ![In: Value Creation in E-Business Management. 15th Americas Conference on Information Systems, AMCIS 2009, SIGeBIZ track, San Francisco, CA, USA, August 6-9, 2009. Selected Papers, pp. 231-245, 2009, Springer, 978-3-642-03131-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
index arbitrage, lead-lag effect, latency, Algorithmic trading, market microstructure |
29 | Lawrence Stewart, Grenville J. Armitage, Alana Huebner |
Collateral Damage: The Impact of Optimised TCP Variants on Real-Time Traffic Latency in Consumer Broadband Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2009, 8th International IFIP-TC 6 Networking Conference, Aachen, Germany, May 11-15, 2009. Proceedings, pp. 392-403, 2009, Springer, 978-3-642-01398-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
interactive, congestion control, TCP, latency, broadband |
29 | Tiantian Guo, Jianfei Cai 0001, Chuan Heng Foh |
Distributed routing algorithm for low-latency broadcasting in multi-rate wireless mesh network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, IWCMC 2009, Leipzig, Germany, June 21-24, 2009, pp. 338-342, 2009, ACM, 978-1-60558-569-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multirate wireless mesh network, network-wide latency, distributed routing |
29 | A. Allirani, M. Suganthi |
An Efficient Clustering Protocol with Reduced Energy and Latency for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part I, pp. 797-809, 2009, Springer, 978-3-642-02453-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Energy Sorting Protocol, cluster formation, Energy Latency, Sensor Networks, Data processing |
29 | Daniel Gebhardt, JunBok You, W. Scott Lee, Kenneth S. Stevens |
Network Simplicity for Latency Insensitive Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Second International Symposium on Networks-on-Chips, NOCS 2008, 5-6 April 2008, Newcastle University, UK. Proceedings, pp. 209-210, 2008, IEEE Computer Society, 978-0-7695-3098-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
latency insensitive, low power, system-on-chip, network-on-chip, topology, desynchronize |
29 | Yaw Anokwa, Colin Dixon, Gaetano Borriello, Tapan S. Parikh |
Optimizing high latency links in the developing world. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wireless Networks and Systems for Developing Regions ![In: Proceedings of the 2008 ACM Workshop on Wireless Networks and Systems for Developing Regions, San Francisco, California, USA, September 19, 2007, pp. 53-56, 2008, ACM, 978-1-60558-190-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
hci, networking, latency, routers, developing world |
29 | Marios Tziakouris, Paraskevas Evripidou |
HTTPStream Platform - Low Latency Data for the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops ![In: On the Move to Meaningful Internet Systems: OTM 2008 Workshops, OTM Confederated International Workshops and Posters, ADI, AWeSoMe, COMBEK, EI2N, IWSSA, MONET, OnToContent + QSI, ORM, PerSys, RDDS, SEMELS, and SWWS 2008, Monterrey, Mexico, November 9-14, 2008. Proceedings, pp. 873-882, 2008, Springer, 978-3-540-88874-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low latency data, Web 2.0, pervasive, http streaming |
29 | Swarup Mohalik, A. C. Rajeev, Manoj G. Dixit, S. Ramesh 0002, P. Vijay Suman, Paritosh K. Pandya, Shengbing Jiang |
Model checking based analysis of end-to-end latency in embedded, real-time systems with clock drifts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 296-299, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
task chain, model checking, timed automata, clock drifts, end-to-end latency |
29 | Andrzej Sieminski |
Browser Latency Impact Factors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (2) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, KES 2007, XVII Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007. Proceedings, Part II, pp. 263-270, 2007, Springer, 978-3-540-74826-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
browser latency, cacheability, Internet, prefetching, proxy servers |
29 | Tai-Lin Chin, Parameswaran Ramanathan, Kewal K. Saluja |
Analytic modeling of detection latency in mobile sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the Fifth International Conference on Information Processing in Sensor Networks, IPSN 2006, Nashville, Tennessee, USA, April 19-21, 2006, pp. 194-201, 2006, ACM, 1-59593-334-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
collaborative detection, detection latency, analytic model, mobile sensor networks |
29 | Yousuke Hashimoto, Yutaka Ishibashi |
Influences of network latency on interactivity in networked rock-paper-scissors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETGAMES ![In: Proceedings of the 5th Workshop on Network and System Support for Games, NETGAMES 2006, Singapore, October 30-31, 2006, pp. 23, 2006, ACM, 1-59593-589-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
rock-paper-scissors, interactivity, video, voice, network latency |
29 | Luciano Lenzini, Enzo Mingozzi, Giovanni Stea |
Bandwidth and latency analysis of modified deficit round robin scheduling algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VALUETOOLS ![In: Proceedings of the 1st International Conference on Performance Evaluation Methodolgies and Tools, VALUETOOLS 2006, Pisa, Italy, October 11-13, 2006, pp. 41, 2006, ACM, 1-59593-504-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
performance evaluation, latency, deficit round robin |
29 | Refael Hassin, Asaf Levin |
An Approximation Algorithm for the Minimum Latency Set Cover Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Algorithms - ESA 2005, 13th Annual European Symposium, Palma de Mallorca, Spain, October 3-6, 2005, Proceedings, pp. 726-733, 2005, Springer, 3-540-29118-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Minimum sum set cover, minimum latency, approximation algorithm |
Displaying result #1 - #100 of 17347 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|