The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for MINs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1996 (16) 1997-2000 (21) 2001-2004 (19) 2005-2007 (22) 2008-2021 (17) 2022-2023 (4)
Publication types (Num. hits)
article(34) inproceedings(65)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 167 occurrences of 108 keywords

Results
Found 99 publication records. Showing 99 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
193Takahiro Hozumi, Naotake Kamiura, Yutaka Hata, Kazuharu Yamato Multiple-Valued Logic Design Using Multiple-Valued EXOR. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple-valued EXOR, sum operation, multiple valued sum of products expression, binary EXOR of MINs expressions, three valued EXOR of MINs expression, three valued two variable functions, multiple valued EXOR of MINs expressions, MAX of MINs, TSUM of MINs expressions, logic design, neural nets, multivalued logic, logic minimization, minimisation of switching nets, neural computing, multiple valued logic design, multiple-valued logic design
106Lionel M. Ni, Yadong Gui, Sherry Moore Performance Evaluation of Switch-Based Wormhole Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Dilated networks, turnaround routing, scalable parallel computers, multistage interconnection networks, wormhole switching, fat tree
91Edith Cohen, Haim Kaplan Summarizing data using bottom-k sketches. Search on Bibsonomy PODC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF all-distances sketches, bottom-k sketches, data streams
76Hyunseung Choo, Youngsong Mun Improving the Performance of Multistage Interconnection Networks under Nonuniform Traffic Pattern on Shorter Cycles. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
75Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi A New Scheme to Realize Crosstalk-free Permutations in Optical MINs with Vertical Stacking. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
61Youngsong Mun Performance Analysis of Banyan-Type Multistage Interconnection Networks Under Nonuniform Traffic Pattern. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nonuniform traffic pattern, multistage interconnection networks
61Ahmad Chadi Aljundi, Jean-Luc Dekeyser The Effect of the Degree of Multistage Interconnection Networks on their Performance: The Case of Delta and Over-Sized Delta Networks. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
61Nabendu Chaki, Swapan Bhattacharya Permutation Mapping for MIN Using High Level Net Models. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
60Vara Varavithya, Prasant Mohapatra Asynchronous Tree-Based Multicasting in Wormhole-Switched MINs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Asynchronous tree-based multicasting, deadlock configurations, multicast routing algorithm, multistage interconnection networks, wormhole switching
47Myung-Kyun Kim, Hyunsoo Yoon, Seung Ryoul Maeng On the Correctness of Inside-Out Routing Algorithm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asymmetric MINs, omega-omega network, routing algorithm, Multistage interconnection networks (MINs), rearrangeable networks
46Kaixin Ren, Naijie Gu Permutation Capability of Optical Cantor Network. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Haitham S. Hamza, Jitender S. Deogun WDM Multistage Interconnection Networks Architectures for Enhancing Supernetworks Switching Infrastructure. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Luca Schiano, Fabrizio Lombardi On the Test and Diagnosis of the Perfect Shuffle. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Youngsong Mun, Hyunseung Choo Modeling and Performance Evaluation of Multistage Interconnection Networks with Nonuniform Traffic Pattern. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Muhammad Anan, Mohsen Guizani Modeling and Simulation of a Fault Tolerant ATM Switching Architecture. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault-Tolerance, Reliability, ATM, MIN, Cell loss probability
46Abdou Youssef, Bruce W. Arden Topology of Efficiently Controllable Banyan Multistage Networks. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
44Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López 0001, José Duato On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF message throttling, Interconnection networks, congestion management
44Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López 0001, José Duato Congestion Management in MINs through Marked and Validated Packets. Search on Bibsonomy PDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Suresh Subramaniam 0001, Arun K. Somani Multicasting in ATM networks using MINs. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-routing multistage interconnection networks, multicast switch architecture, cell-level simulation results, average cell delay, cell recycling, multicasting, asynchronous transfer mode, ATM networks, MIN, B-ISDN, banyan network, routing tables, multicast connection
39Jiling Zhong, Yi Pan 0001 An Upper Bound on Blocking Probability of Vertical Stacked Optical Benes Networks. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF directional coupler (DC), vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, Benes networks
39Abdou Youssef, Bruce W. Arden Functional and Topological Relations Among Banyan Multistage Networks of Differing Switch Sizes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF functional relations, banyan multistage networks, switch sizes, multistage interconnection networks, MINs, optimal algorithm, topological relations, multiprocessorinterconnection networks
30Jirí Jaros Evolutionary optimization of multistage interconnection networks performance. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multistage interconnection networks, collective communications, evolutionary design, communication scheduling
30John D. Garofalakis, Eleftherios Stergiou An Analytical Performance Model for Multistage Interconnection Networks with Blocking. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance analysis, Multistage Interconnection Networks, Blocking, Switching Networks
30Elisabeth Pelz, Dietmar Tutsch Formal Models for Multicast Traffic in Network on Chip Architectures with Compositional High-Level Petri Nets. Search on Bibsonomy ICATPN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Gaurav Trivedi, Sumit Punglia, H. Narayanan Application of DC Analyzer to Combinatorial Optimization Problems. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Stephan Diehl 0001, Ahmed E. Hassan, Richard C. Holt Report on MSR 2005: international workshop on mining software repositories. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Rza Bashirov, Valentino Crespi Quantitative Analysis of Permutation Capability with Colored Petri Nets. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Siu-Cheung Chau, Tiehong Xiao, Ada Wai-Chee Fu Routing and Scheduling for a Novel Optical Multistage Interconnection Network. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Ching-Wen Chen, Phui-Si Gan, Chih-Hung Chang Designing a High Performance and Fault Tolerant Multistage Interconnection Network with Easy Dynamic Rerouting. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF destination tag routing, fault tolerance, performance, Parallel computing, multistage interconnection network(MIN), collision
30Enyue Lu, S. Q. Zheng 0001 Parallel Routing and Wavelength Assignment for Optical Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Lei Zhang, Xuehui Wang, Wenhua Dou A Distributed Topology Control Algorithm for Heterogeneous Ad Hoc Networks. Search on Bibsonomy PDCAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi Vertically Stacked Benes Networks for Crosstalk-Free Permutation. Search on Bibsonomy CW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30K. K. Loo, Chi Lap Yip, Ben Kao, David Wai-Lok Cheung Exploiting the Duality of Maximal Frequent Itemsets and Minimal Infrequent Itemsets for I/O Efficient Association Rule Mining. Search on Bibsonomy DEXA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Data mining, association rules, lattice
30Qian-Ping Gu, Shietung Peng Wavelengths Requirement for Permutation Routing in All-Optical Multistage Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multistage interconnection networks, Permutation routing, all-optical networks, node-disjoint paths, wavelength routing, BPC permutations
30Qian-Ping Gu, Shietung Peng Efficient Protocols for Permutation Routing on All-Optical Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF WDM all-optical networks, routing algorithms, multistage interconnection networks, Permutation routing, edge-disjoint paths, BPC permutations
30Laxmi N. Bhuyan, Ravi R. Iyer 0001, Tahsin Askar, Ashwini K. Nanda, Mohan Kumar Performance of Multistage Bus Networks for a Distributed Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF routing, performance analysis, Interconnection network, packet-switching, queuing model, execution-driven simulation
30Vara Varavithya, Prasant Mohapatra Tree-Based Multicasting on Wormhole Routed Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Asynchronous tree-based multicasting, interprocessor communication, multicast communications
30Yeimkuan Chang Partitionability of the Multistage Interconnection Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF network partitionability, physically independent subsystems, communication interference, permutation functions, mapping scheme, hypercube structure, fault tolerance, parallel architectures, fault tolerant computing, hypercube networks, multistage interconnection networks, multistage interconnection networks, MIN, switches
30Smaragda Konstantinidou The selective extra stage butterfly. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Peter G. Harrison, Naresh M. Patel The Representation of Multistage Interconnection Networks in Queuing Models of Parallel Systems Search on Bibsonomy J. ACM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF flow-equivalent server, performance evaluation, Markov process, multistage interconnection network, crossbar switch, closed queuing network, delta network
29Woosik Lee 0003, Patrick Geneva, Chuchu Chen, Guoquan Huang 0001 MINS: Efficient and Robust Multisensor-aided Inertial Navigation System. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Ming Xia, Pengfei Sun, Lianwu Guan, Zhonghua Zhang Research on Algorithm of Airborne Dual-Antenna GNSS/MINS Integrated Navigation System. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Lanyi Han, Zhiyong Shi, Jinlong Song, Huaiguang Wang Vehicle Positioning Algorithm Based on NHC/Virtual-MINS/OD. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Tongxu Xu, Xiang Xu 0004, Dacheng Xu, Zelan Zou, Heming Zhao A New Robust Filtering Method of GNSS/MINS Integrated System for Land Vehicle Navigation. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Huanrui Zhang, Xiaoyue Zhang Robust SCKF Filtering Method for MINS/GPS In-Motion Alignment. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
29Fitz Gerald Cabangcla, Daniele Izzi, Annalisa Massini A New Perspective for Rearrangeability of MINs. Search on Bibsonomy SoftCOM The full citation details ... 2021 DBLP  BibTeX  RDF
29Claudio Paliotta, Klaus Ening, Sigurd Mørkved Albrektsen Micro indoor-drones (MINs) for localization of first responders. Search on Bibsonomy ISCRAM The full citation details ... 2021 DBLP  BibTeX  RDF
29Zhihong Deng, Pengyu Wang, Tong Liu, Yun Cao, Bo Wang 0013 Foot-Mounted Pedestrian Navigation Algorithm Based on BOR/MINS Integrated Framework. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
29Yue Peng, Yu Liu, Chengtao Cai A non-linear combination filtering algorithm in MINS/GNSS navigation system. Search on Bibsonomy CSCWD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Majid Rezazadeh, Farshad Safaei, Mahsa Moazez Flattening: An efficient approach to improving the performance of conventional MINs. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles 0001, José Flich, José Duato An Effective and Feasible Congestion Management Technique for High-Performance MINs with Tag-Based Distributed Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Hassan Jameel Asghar, Josef Pieprzyk, Huaxiong Wang On the Hardness of the Sum of k Mins Problem. Search on Bibsonomy Comput. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
29Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López 0001, José Duato A Scalable and Early Congestion Management Mechanism for MINs. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Message Throttling, Interconnection Networks, Congestion Management
29Nitin 0001, Ashok Subramanian Efficient algorithms and methods to solve dynamic MINs stability problem using stable matching with complete ties. Search on Bibsonomy J. Discrete Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Maissa Elleuch, Yassine Aydi, Mohamed Abid Formal Specification of Delta MINs for MPSOC in the ACL2 Logic. Search on Bibsonomy FDL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Xiaohong Jiang 0001, Susumu Horiguchi Design of Optical Rearrangeable Nonblocking MINs Under Various Crosstalk Constraints. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi A New Scheme to Realize Crosstalk-Free Permutation in Vertically Stacked Optical MINs. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Mohsen Guizani, Muhammad Anan Fault-tolerant ATM switching architectures based on MINs: A survey. Search on Bibsonomy Informatica (Slovenia) The full citation details ... 2001 DBLP  BibTeX  RDF
29Jinsoo Kim 0005, Jaehyung Park, Hyunsoo Yoon, Jung Wan Cho Fault-tolerant multicasting in MINs for ATM switches. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Suresh Subramaniam 0001, Arun K. Somani Multicasting in ATM networks using MINs. Search on Bibsonomy Comput. Commun. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Jerry L. Trahan, Suresh Rai Reliability evaluation and decision problems in extra stage shuffle-exchange MINs. Search on Bibsonomy Networks The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Imran Rafiq Quadri, Pierre Boulet, Samy Meftali, Jean-Luc Dekeyser Using an MDE Approach for Modeling of Interconnection Networks. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF UML2 Templates, SoC, MINs, NoC, MDE, MARTE, Delta Networks
23Yu Zhong, Martin D. F. Wong Fast Placement Optimization of Power Supply Pads. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.398 to 0.196 V, power supply pads, power grid networks, voltage deviation, 72 mins, 0.134 to 0.024 V, simulated annealing, iterative method, VLSI circuits
23Hui Zhang 0014, Yuanyuan Yang Cost-Effective Unique-Path WDM Optical Interconnects. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF unique-path networks, permutation, multistage interconnection networks (MINs), optical interconnects, Wavelength-division-multiplexing (WDM)
23Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi Blocking behaviors of crosstalk-free optical Banyan networks on vertical stacking. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, banyan networks
23Brian D. Alleyne, Isaac D. Scherson On Evil Twin Networks and the Value of Limited Randomized Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF recirculating networks, Multistage interconnection networks (MINs), permutation routing, Clos networks, randomized routing, SIMD computers, Delta networks
23Abdullah A. Abonamah, Fadi N. Sibai, N. K. Sharma 0002 Conflict Resolution and Fault-Free Path Selection in Multicast-Connected Cube-Based Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cube-based, fault-free path selection, probability of failure, fault tolerant computing, multiprocessor interconnection networks, MINs, conflict resolution, simulation results, faults, conflicts, network performance, performance improvement, reconfiguration algorithm, multicast-connected, multicast connections
15Xin Xu, Ying Lu 0001, Kian-Lee Tan, Anthony K. H. Tung Finding Time-Lagged 3D Clusters. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ming-Jye Lee, Chi-Chang Chen, Chien-Ning Yeh Permutation Routing in All-Optical Multistage Clos Network. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optical Crosstalk, Rearrangeable non-blocking, Paull’s Matrix, Edge Coloring, Clos network
15Ajay K. Katangur, Somasheker Akkaladevi, Yi Pan 0001 Analyzing the performance of optical multistage interconnection networks with limited crosstalk. Search on Bibsonomy Clust. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OMIN, Bandwidth, Crosstalk, Banyan network
15Hyun Jong Ryu, Rohae Myung, Byongjun Lee Measuring Presence in Mobile 3D. Search on Bibsonomy HCI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mobile 3D game, presence factors, measurement, presence
15Ajay K. Katangur, Somasheker Akkaladevi Message Routing and Scheduling in Optical Multistage Networks using Bayesian Inference method on AI algorithms. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dimitris C. Vasiliadis, George E. Rizos, Costas Vassilakis Performance Analysis of dual priority single-buffered blocking Multistage Interconnection Networks. Search on Bibsonomy ICNS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Behzad Esfandyarpour, Ebrahim Asl Soleimani Fast Response Microgas Sensors Based on Platinum Nanoclusters Sputtered on Nanocrystalline tin Oxide Thin Films. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yuanyuan Yang 0001, Jianchao Wang Routing Permutations on Baseline Networks with Node-Disjoint Paths. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF semipermutation, baseline network, link-disjoint paths, crosstalk-free, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths
15Jianyong Wang 0001, Jiawei Han 0001, Ying Lu 0001, Petre Tzvetkov TFP: An Efficient Algorithm for Mining Top-K Frequent Closed Itemsets. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ajay K. Katangur, Yi Pan 0001 Performance Analysis of Optical Multistage Interconnection Networks with Limited Crosstalk. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Bin Tang On multicast scheduling and routing in multistage Clos networks. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15A. Oveissian, Kavé Salamatian, Augustin Soule, Nina Taft Fast Flow Classification over Internet. Search on Bibsonomy CNSR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Yuanyuan Yang 0001, Jianchao Wang Routing Permutations on Optical Baseline Networks with Node-Disjoint Paths. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Murari Mani, Michael Orshansky A New Statistical Optimization Algorithm for Gate Sizing. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Nasser S. Fard, Indra Gunawan Reliability Bounds for Large Multistage Interconnection Networks. Search on Bibsonomy PARA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Monica Alderighi, Fabio Casini, Sergio D'Angelo, Davide Salvi, Giacomo R. Sechi A Fault-Tolerant FPGA-based Multi-Stage Interconnection Network for Space Applications. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Field programmable Gate Arrays, Multistage Interconnection Network, Space Applications
15Gang Han, Robert H. Klenke, James H. Aylor Performance Modeling of Hierarchical Crossbar-Based Multicomputer Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF crossbar interconnection networks, simulation, performance evaluation, modeling, Multicomputer systems
15Naotake Kamiura, Takashi Kodera, Nobuyuki Matsui Fault tolerant multistage interconnection networks with widely dispersed paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerant MIN, widely dispersed paths, 2-dilated baseline network, switching element, concentrated SE faults, fault tolerant computing, multiprocessor interconnection network, multistage interconnection networks, multistage interconnection networks
15Naotake Kamiura, Takashi Kodera, Nobuyuki Matsui Design of a Fault Tolerant Multistage Interconnection Network with Parallel Duplicated Switches. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Xiaojun Shen, Fan Yang, Yi Pan 0001 Equivalent permutation capabilities between time division optical omega network and non-optical extra stage omega network. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Maciej Bellos, Dimitris Nikolos, Haridimos T. Vergos Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Rajeev Sivaram, Dhabaleswar K. Panda 0001, Craig B. Stunkel Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multicast, broadcast, wormhole routing, multistage interconnection networks, collective communication, interprocessor communication, Parallel computer architecture, virtual cut-through
15Jinsoo Kim 0005, Jaehyung Park, Jung Wan Cho, Hyunsoo Yoon Fault-Tolerant Multicasting in Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Christos Bouras, John D. Garofalakis, Paul G. Spirakis, Vassilis Triantafillou A General Performance Model for Multistage Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF queueing theory models, evaluation, analytical models
15Bum-Sik Lee Design of an Augmented Generalized Cube Network. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS fault model, multiple fault diagnosis, interconnection networks, fault diagnosis, lower bound, multistage interconnection networks, multistage interconnection networks, CMOS technology, stuck-open faults
15Rajeev Raman Priority Queues: Small, Monotone and Trans-dichotomous. Search on Bibsonomy ESA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Young Wook Keum, Sung Chun Kim Design and Analysis of the High-Performance Interconnected Banyan Switching Fabric(IBSF). Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel processing, MIN, ATM switch, banyan network
15Shuo-Hsien Hsiao, C. Y. Roger Chen Performance analysis of single-buffered multistage interconnection networks. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Suresh Chalasani, Anujan Varma, Cauligi S. Raghavendra Fault-tolerant routing in MIN-based supercomputers. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Haim E. Mizrahi, Jean-Loup Baer, Edward D. Lazowska, John Zahorjan Introducing Memory into Switch Elements of Multiprocessor Interconnection Networks. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Frank K. Hwang, David N. Deutsch A Class of Merging Algorithms. Search on Bibsonomy J. ACM The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #99 of 99 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license