|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1414 occurrences of 593 keywords
|
|
|
Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
128 | Ron Gabor, Shlomo Weiss, Avi Mendelson |
Fairness enforcement in switch on event multithreading. |
ACM Trans. Archit. Code Optim. |
2007 |
DBLP DOI BibTeX RDF |
SOE, Switch on Event multithreading, coarse-grained multithreading, weighted speedup, performance, fairness, throughput, multithreading |
111 | Wlodzimierz M. Zuberek |
Modeling and Analysis of Dual Block Multithreading. |
FORTE Workshops |
2004 |
DBLP DOI BibTeX RDF |
instruction issuing, event–driven simulation, performance analysis, timed Petri nets, pipelined processors, Block multithreading |
92 | Theo Ungerer, Borut Robic, Jurij Silc |
A survey of processors with explicit multithreading. |
ACM Comput. Surv. |
2003 |
DBLP DOI BibTeX RDF |
interleaved multithreading, simultaneous multithreading, Blocked multithreading |
84 | Hantak Kwak, Ben Lee, Ali R. Hurson, Suk-Han Yoon, Woo-Jong Hahn |
Effects of Multithreading on Cache Performance. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
memory tolerance, context switching and locality, Multithreading, memory latency |
80 | Ron Gabor, Shlomo Weiss, Avi Mendelson |
Fairness and Throughput in Switch on Event Multithreading. |
MICRO |
2006 |
DBLP DOI BibTeX RDF |
|
75 | Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 |
A continuation-based noninterruptible multithreading processor architecture. |
J. Supercomput. |
2009 |
DBLP DOI BibTeX RDF |
Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming |
75 | Manoj Gupta 0001, Fermín Sánchez, Josep Llosa |
Hybrid multithreading for VLIW processors. |
CASES |
2009 |
DBLP DOI BibTeX RDF |
multithreading, clustered VLIW processors |
71 | Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo, Rebecca L. Stamm |
Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
|
71 | Dean M. Tullsen, Susan J. Eggers, Henry M. Levy |
Simultaneous Multithreading: Maximizing On-Chip Parallelism. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
70 | Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen |
Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. |
ACM Trans. Comput. Syst. |
1997 |
DBLP DOI BibTeX RDF |
multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference |
67 | Liang Peng, Ming-Dong Feng, Chung-Kwong Yuen |
Evaluation of the Performance of Multithreaded Cilk Runtime System on SMP Clusters. |
IWCC |
1999 |
DBLP DOI BibTeX RDF |
performance evaluation, cluster computing, multithreading |
66 | Satoshi Amamiya, Masaaki Izumi, Takanori Matsuzaki, Ryuzo Hasegawa, Makoto Amamiya |
Fuce: the continuation-based multithreading processor. |
Conf. Computing Frontiers |
2007 |
DBLP DOI BibTeX RDF |
continuation-based multithread programming, multithreading, thread-level parallelism, chip multi-processor |
66 | Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen |
Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. |
ASPLOS |
2004 |
DBLP DOI BibTeX RDF |
DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL |
66 | Joan-Manuel Parcerisa, Antonio González 0001 |
Improving Latency Tolerance of Multithreading through Decoupling. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity |
62 | Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew |
Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. |
HiPC |
2006 |
DBLP DOI BibTeX RDF |
|
62 | Carsten Albrecht, Rainer Hagenau, Andreas C. Döring |
Cooperative Software Multithreading to Enhance Utilization of Embedded Processors for Network Applications. |
PDP |
2004 |
DBLP DOI BibTeX RDF |
|
62 | Beng-Hong Lim, Ricardo Bianchini |
Limits on the Performance Benefits of Multithreading and Prefetching. |
SIGMETRICS |
1996 |
DBLP DOI BibTeX RDF |
|
61 | Kenjiro Taura, Akinori Yonezawa |
Fine-grain Multithreading with Minimal Compiler Support - A Cost Effective Approach to Implementing Efficient Multithreading Languages. |
PLDI |
1997 |
DBLP DOI BibTeX RDF |
|
57 | Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir |
Supporting multithreading in configurable soft processor cores. |
CASES |
2007 |
DBLP DOI BibTeX RDF |
soft processor cores, multithreading |
57 | Shigeru Kusakabe, Mitsuhiro Aono, Masaaki Izumi, Satoshi Amamiya, Yoshinari Nomura, Hideo Taniguchi, Makoto Amamiya |
Scalability of continuation-based fine-grained multithreading in handling multiple I/O requests on FUCE. |
Conf. Computing Frontiers |
2007 |
DBLP DOI BibTeX RDF |
fine-grained multithreading, operating systems, I/O |
57 | Pedro Trancoso, Paraskevas Evripidou, Kyriakos Stavrou, Costas Kyriacou |
A Case for Chip Multiprocessors Based on the Data-Driven Multithreading Model. |
Int. J. Parallel Program. |
2006 |
DBLP DOI BibTeX RDF |
data-driven execution, parallel processing, Chip multiprocessor, multithreading |
57 | Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso |
Data-Driven Multithreading Using Conventional Microprocessors. |
IEEE Trans. Parallel Distributed Syst. |
2006 |
DBLP DOI BibTeX RDF |
nonblocking threads, multiprocessors, high performance computing, multithreading, network of workstations, Dataflow, cache prefetching |
57 | Allan Snavely, Dean M. Tullsen, Geoffrey M. Voelker |
Symbiotic jobscheduling with priorities for a simultaneous multithreading processor. |
SIGMETRICS |
2002 |
DBLP DOI BibTeX RDF |
job scheduling, priorities, simultaneous multithreading |
53 | Jörg Domaschka, Thomas Bestfleisch, Franz J. Hauck, Hans P. Reiser, Rüdiger Kapitza |
Multithreading Strategies for Replicated Objects. |
Middleware |
2008 |
DBLP DOI BibTeX RDF |
|
53 | Manoj Gupta 0001, Fermín Sánchez, Josep Llosa |
Cluster-level simultaneous multithreading for VLIW processors. |
ICCD |
2007 |
DBLP DOI BibTeX RDF |
|
52 | Eric Tune, Rakesh Kumar 0002, Dean M. Tullsen, Brad Calder |
Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy. |
MICRO |
2004 |
DBLP DOI BibTeX RDF |
|
48 | Hyoseung Kim 0001, Hojung Cha |
Multithreading Optimization Techniques for Sensor Network Operating Systems. |
EWSN |
2007 |
DBLP DOI BibTeX RDF |
sensor network operating system, multithreading optimization technique |
48 | Myungho Lee, Yeonseung Ryu, Tae-Sun Chung, Neungsoo Park |
Performance Evaluation of a Chip-MultiThreading Server for High Performance Computing Applications. |
HiPC |
2006 |
DBLP DOI BibTeX RDF |
Chip-MultiThreading, Scalability, High Performance Computing, OpenMP, SMP |
48 | Christopher J. F. Pickett, Clark Verbrugge |
SableSpMT: a software framework for analysing speculative multithreading in Java. |
PASTE |
2005 |
DBLP DOI BibTeX RDF |
static and dynamic analysis, java, virtual machines, profiling, thread level speculation, speculative multithreading |
48 | Todd C. Mowry, Sherwyn R. Ramkissoon |
Software-Controlled Multithreading Using Informing Memory Operations. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
shared-memory multiprocessing, Multithreading, cache performance |
45 | Andrew Sohn, Yuetsu Kodama, Jui-Yuan Ku, Mitsuhisa Sato, Yoshinori Yamaguchi |
Tolerating Communication Latency through Dynamic Thread Invocation in a Multithreaded Architecture. |
Compiler Optimizations for Scalable Parallel Systems Languages |
2001 |
DBLP DOI BibTeX RDF |
|
45 | Takashi Hashimoto, Kazuaki J. Murakami, Tetsuo Hironaka, Hiroto Yasuura |
A Micro-Vectorprocessor Architecture: Performance Modeling and Benchmarking. |
International Conference on Supercomputing |
1993 |
DBLP DOI BibTeX RDF |
|
44 | Bruno Girodias, Youcef Bouchebaba, Gabriela Nicolescu, El Mostapha Aboulhamid, Pierre G. Paulin, Bruno Lavigueur |
Multiprocessor, Multithreading and Memory Optimization for On-Chip Multimedia Applications. |
J. Signal Process. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Multiprocessors System on Chip (MPSoC), Optimizations, Multimedia, Parallelism, Memory, Multi-threading |
44 | Victor N. Epitropou, Konstantinos M. Giannoutakis, George A. Gravvanis |
Java Multithreading based Parallel Preconditioned Generalized Conjugate Gradient type methods. |
ISPDC |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Jui-Chin Chu, Wei-Chun Ku, Shu-Hsuan Chou, Tien-Fu Chen, Jiun-In Guo |
An Embedded Coherent-Multithreading Multimedia Processor and Its Programming Model. |
DAC |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen |
Helper Threads via Virtual Multithreading. |
IEEE Micro |
2004 |
DBLP DOI BibTeX RDF |
|
44 | H. Martin Bücker, Bruno Lang, Hans-Joachim Pflug, Andre Vehreschild |
Threads in an Undergraduate Course: A Java Example Illuminating Different Multithreading Approaches. |
ICCSA (2) |
2004 |
DBLP DOI BibTeX RDF |
|
44 | Gordon J. Brebner |
Multithreading for Logic-Centric Systems. |
FPL |
2002 |
DBLP DOI BibTeX RDF |
|
44 | Mohamed M. Zahran, Manoj Franklin |
A Feasibility Study of Hierarchical Multithreading. |
IPDPS |
2002 |
DBLP DOI BibTeX RDF |
|
44 | Takashi Ishihara, Tiejun Li, Eugene F. Fodor, Ronald A. Olsson |
A Comparison of Concurrent Programming and Cooperative Multithreading. |
Euro-Par |
2000 |
DBLP DOI BibTeX RDF |
|
44 | Haitham Akkary, Sébastien Hily |
The Case for Speculative Multithreading on SMT Processors. |
ISHPC |
2000 |
DBLP DOI BibTeX RDF |
|
44 | Andrew Sohn, Mitsuhisa Sato, Namhoon Yoo, Jean-Luc Gaudiot |
Effects of Multithreading on Data and Workload Distribution for Distributed-Memory Multiprocessors. |
IPPS |
1996 |
DBLP DOI BibTeX RDF |
|
44 | Matthew Haines, A. P. Wim Böhm |
Task Management, Virtual Shared Memory, and Multithreading in a Distributed Memory Implementation of Sisal. |
PARLE |
1993 |
DBLP DOI BibTeX RDF |
|
40 | Christopher Ostler, Karam S. Chatha, Vijay Ramamurthi, Krishnan Srinivasan |
ILP and heuristic techniques for system-level design on network processor architectures. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
multiprocessor, block multithreading |
40 | Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos |
Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. |
HPCC |
2005 |
DBLP DOI BibTeX RDF |
Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability |
40 | Arun Rodrigues, Richard C. Murphy, Peter M. Kogge, Keith D. Underwood |
Characterizing a new class of threads in scientific applications for high end supercomputers. |
ICS |
2004 |
DBLP DOI BibTeX RDF |
compilers, multithreading |
39 | Stijn Eyerman, Lieven Eeckhout |
Memory-level parallelism aware fetch policies for simultaneous multithreading processors. |
ACM Trans. Archit. Code Optim. |
2009 |
DBLP DOI BibTeX RDF |
Fetch Policy, Simultaneous Multithreading (SMT), Memory-Level Parallelism (MLP) |
39 | Marek Olszewski, Jason Ansel, Saman P. Amarasinghe |
Kendo: efficient deterministic multithreading in software. |
ASPLOS |
2009 |
DBLP DOI BibTeX RDF |
deterministic multithreading, parallel programming, debugging, multicore, determinism |
39 | Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris |
Exploring the performance limits of simultaneous multithreading for memory intensive applications. |
J. Supercomput. |
2008 |
DBLP DOI BibTeX RDF |
Speculative precomputation, Performance analysis, Instruction-level parallelism, Thread-level parallelism, Simultaneous multithreading, Software prefetching |
39 | Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh |
On the potential of latency tolerant execution in speculative multithreading. |
IFMT |
2008 |
DBLP DOI BibTeX RDF |
latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors |
39 | Christopher J. F. Pickett |
Software speculative multithreading for Java. |
OOPSLA Companion |
2007 |
DBLP DOI BibTeX RDF |
Java, parallelism, virtual machines, thread level speculation, speculative multithreading |
39 | Yaoping Ruan, Vivek S. Pai, Erich M. Nahum, John M. Tracey |
Evaluating the impact of simultaneous multithreading on network servers using real hardware. |
SIGMETRICS |
2005 |
DBLP DOI BibTeX RDF |
simultaneous multithreading(SMT), network server |
39 | Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron, Pradip Bose |
Understanding the energy efficiency of simultaneous multithreading. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
multithreading |
39 | Yu-Kwong Kwok |
On Exploiting Heterogeneity for Cluster Based Parallel Multithreading Using Task Duplication. |
J. Supercomput. |
2003 |
DBLP DOI BibTeX RDF |
parallel multithreading, Linux PC cluster, scheduling, heterogeneous systems, task graphs, task duplication, protocol processing |
39 | Anasua Bhowmik, Manoj Franklin |
A fast approximate interprocedural analysis for speculative multithreading compilers. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
pointer analysis, thread-level parallelism (TLP), interprocedural analysis, speculative multithreading (SpMT) |
39 | Peng-Sheng Chen, Ming-Yu Hung, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee |
Compiler support for speculative multithreading architecture with probabilistic points-to analysis. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
probabilistic points-to analysis, parallelization, dependence analysis, speculative multithreading |
39 | Pedro Marcuello, Antonio González 0001 |
Thread-Spawning Schemes for Speculative Multithreading. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
Thread-spawning policies, Thread-level parallelism, Speculative multithreading |
39 | Anasua Bhowmik, Manoj Franklin |
A general compiler framework for speculative multithreading. |
SPAA |
2002 |
DBLP DOI BibTeX RDF |
TLP compiler, thread formation, parallelization, data dependence, thread-level parallelism (TLP), control dependence, speculative multithreading (SpMT) |
39 | Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen |
Tuning Compiler Optimizations for Simultaneous Multithreading. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size |
39 | Henk L. Muller, Paul W. A. Stallard, David H. D. Warren |
Multitasking and Multithreading on a Multiprocessor with Virtual Shared Memory. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
multithreading, Multitasking, COMA, virtual shared memory |
39 | Li Cheng, Dingxing Wang, Meiming Shen, Weimin Zheng, Peng Shanling |
The Compiler for Supporting Multithreading in Cyclic Register Windows. |
ISPAN |
1996 |
DBLP DOI BibTeX RDF |
pipeline, Multithreading, compilation optimization, register allocation, multicomputers |
36 | Wlodzimierz M. Zuberek |
Enhanced Interleaved Multithreaded Multiprocessors and Their Performance Analysis. |
ACSD |
2004 |
DBLP DOI BibTeX RDF |
Interleaved multithreaded architectures, performance analysis, timed Petri nets, distributed-memory multiprocessors, event-driven simulation |
36 | Mark N. Yankelevsky, Constantine D. Polychronopoulos |
alpha-coral: a multigrain, multithreaded processor architecture. |
ICS |
2001 |
DBLP DOI BibTeX RDF |
processor archietecture, multithreaded, parallelizing compiler |
36 | Yong-Kim Chong, Kai Hwang 0001 |
Performance Analysis of Four Memory Consistency Models for Multithreaded Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
latency hiding techniques, performance evaluation, Distributed shared memory, stochastic Petri nets, multithreaded processors, memory consistency models, context switching, scalable multiprocessors |
35 | Zheng Chen, Yin-Liang Zhao, Xiao-Yu Pan, Zhao-Yu Dong, Bing Gao, Zhi-Wen Zhong |
An Overview of Prophet. |
ICA3PP |
2009 |
DBLP DOI BibTeX RDF |
Thread partitioning, Pre-computation slice, Speculative Multithreading Architecture, Thread level parallelism, Speculative multithreading |
35 | David K. Tam, Reza Azimi, Michael Stumm |
Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. |
EuroSys |
2007 |
DBLP DOI BibTeX RDF |
cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling |
35 | Kevin Schaffer, Robert A. Walker 0001 |
Using hardware multithreading to overcome broadcast/reduction latency in an associative SIMD processor. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Carolina Bonacic, Carlos García 0001, Mauricio Marín, Manuel Prieto 0001, Francisco Tirado, Cesar Vicente |
Improving Search Engines Performance on Multithreading Processors. |
VECPAR |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Jörg Domaschka, Andreas Ingmar Schmied, Hans P. Reiser, Franz J. Hauck |
Revisiting Deterministic Multithreading Strategies. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Kridsadakorn Chaichoompu, Surin Kittitornkun, Sissades Tongsima |
MT-ClustalW: multithreading multiple sequence alignment. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Lei Wang 0003 |
Error-tolerance memory Microarchitecture via Dynamic Multithreading. |
ICCD |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Erik Norden |
Keynote: Multithreading for Low-Cost, Low-Power Applications. |
ARCS |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Philip James-Roxby, Gordon J. Brebner |
Multithreading in a Hyper-programmable Platform for Networked Systems. |
FPL |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso |
CacheFlow: A Short-Term Optimal Cache Management Policy for Data Driven Multithreading. |
Euro-Par |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Alexandra Fedorova, Christopher Small 0001, Daniel Nussbaum, Margo I. Seltzer |
Chip multithreading systems need a new operating system scheduler. |
ACM SIGOPS European Workshop |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Saehwa Kim, Michael Buettner, Mark Hermeling, Seongsoo Hong |
Experimental Assessment of Scenario-Based Multithreading for Real-Time Object-Oriented Models: A Case Study with PBX Systems. |
EUC |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Nathan Tuck, Dean M. Tullsen |
Initial Observations of the Simultaneous Multithreading Pentium 4 Processor. |
IEEE PACT |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Kai-Feng Wang, Zhenzhou Ji, Mingzeng Hu |
Simultaneous Multithreading Trace Processors. |
APPT |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Amir Roth, Gurindar S. Sohi |
Speculative Data-Driven Multithreading. |
HPCA |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Emre Özer 0001, Thomas M. Conte, Saurabh Sharma |
Weld: A Multithreading Technique Towards Latency-Tolerant VLIW Processors. |
HiPC |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Kenji Watanabe, Wanming Chu, Yamin Li |
Exploiting Java Instruction/Thread Level Parallelism with Horizontal Multithreading. |
ACSAC |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Costas Kyriacou, Paraskevas Evripidou |
Communication Assist for Data Driven Multithreading. |
Panhellenic Conference on Informatics |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Joan-Manuel Parcerisa, Antonio González 0001 |
The Synergy of Multithreading and Access/Execute Decoupling. |
HPCA |
1999 |
DBLP DOI BibTeX RDF |
|
35 | Daniel Ortiz Arroyo, Ben Lee, Suk-Han Yoon, Kee-Wook Rim |
A Preliminary Performance Study of Architectural Support for Multithreading. |
HICSS (1) |
1997 |
DBLP DOI BibTeX RDF |
|
35 | Vladimir Vlassov, Lars-Erik Thorelli |
Analytical Models of Multithreading with Data Prefetching. |
Euro-Par, Vol. II |
1996 |
DBLP DOI BibTeX RDF |
|
34 | Pradeep K. Dubey, Kevin O'Brien, Kathryn M. O'Brien, Charles Barton |
Single-program speculative multithreading (SPSM) architecture: compiler-assisted fine-grained multithreading. |
PACT |
1995 |
DBLP BibTeX RDF |
|
31 | Hikmet Dursun, Ken-ichi Nomura, Liu Peng, Richard Seymour, Weiqiang Wang, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta |
A Multilevel Parallelization Framework for High-Order Stencil Computations. |
Euro-Par |
2009 |
DBLP DOI BibTeX RDF |
single instruction multiple data parallelism, spatial decomposition, message passing, multithreading, Stencil computation |
31 | Josefa Díaz, José Ignacio Hidalgo, Francisco Fernández 0001, Oscar Garnica, Sonia López |
Improving SMT performance: an application of genetic algorithms to configure resizable caches. |
GECCO (Companion) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable caches, genetic algorithms, optimization, caches memories, simultaneous multithreading, gals, adaptive caches |
31 | Wangyuan Zhang, Xin Fu, Tao Li 0006, José A. B. Fortes |
An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures. |
ISPASS |
2007 |
DBLP DOI BibTeX RDF |
thread-aware reliability optimization, microarchitecture vulnerability, simultaneous multithreaded architecture, semiconductor transient fault, microprocessor reliability, processor throughput, soft error vulnerability analysis, SPEC CPU 2000 benchmark, microarchitecture structure, microarchitecture reliability profile, fetch policy, thread-level parallelism, multithreading architecture |
31 | Anders Gidenstam, Marina Papatriantafilou |
LFthreads: A Lock-Free Thread Library. |
OPODIS |
2007 |
DBLP DOI BibTeX RDF |
synchronization, multiprocessors, shared memory, multithreading, multicores, lock-free |
31 | Shi-Wu Lo |
Data sharing protocols for SMT processors. |
SAC |
2006 |
DBLP DOI BibTeX RDF |
scheduling, real-time, simultaneously multithreading |
31 | Jih-Fu Tu |
Cache Management for Discrete Processor Architectures. |
ISPA |
2005 |
DBLP DOI BibTeX RDF |
Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency |
31 | Peng-Sheng Chen, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee |
Interprocedural Probabilistic Pointer Analysis. |
IEEE Trans. Parallel Distributed Syst. |
2004 |
DBLP DOI BibTeX RDF |
interprocedural program analysis, optimization, compilers, Multithreading, speculation, points-to analysis |
31 | Bartosz Balis, Marian Bubak, Wlodzimierz Funika, Roland Wismüller, Grzegorz Kaplita |
TOM - Efficient Monitoring Infrastructure for Multithreaded Programs. |
PVM/MPI |
2003 |
DBLP DOI BibTeX RDF |
performance analysis, monitoring, debugging, multithreading, parallel tools |
31 | Albano Agostinho Gomes Alves, António Pina, José Luís Padrão Exposto, José Rufino |
ToCL: A Thread Oriented Communication Library to Interface VIA and GM Protocols. |
International Conference on Computational Science |
2003 |
DBLP DOI BibTeX RDF |
intermediate-level library, message-passing, multithreading |
31 | Anasua Bhowmik, Manoj Franklin |
Exploiting Data Value Prediction in Compiler Based Thread Formation. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
data value prediction, parallelization, profiling, data dependency, thread-level parallelism (TLP), speculative multithreading (SpMT) |
31 | Bartosz Balis, Marian Bubak, Wlodzimierz Funika, Roland Wismüller |
A Concept of Portable Monitoring of Multithreaded Programs. |
International Conference on Computational Science (2) |
2002 |
DBLP DOI BibTeX RDF |
monitoring, shared memory, Multithreading, parallel tools |
31 | Iffat H. Kazi, David J. Lilja |
JavaSpMT: A Speculative Thread Pipelining Parallelization Model for Java Programs. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
run-time dependence checking, shared-memory multprocessors, Java, multithreading, speculative execution, Parallelization model |
30 | Aviral Shrivastava, Jared Pager, Reiley Jeyapaul, Mahdi Hamzeh, Sarma B. K. Vrudhula |
Enabling Multithreading on CGRAs. |
ICPP |
2011 |
DBLP DOI BibTeX RDF |
CGRA, processor accelerator, dynamic threading, runtime scheduling, page-based mapping, CGRA mapping technique, low power, multithreading, compiler optimization, scheduling technique |
30 | Manoj Gupta 0001, Fermín Sánchez, Josep Llosa |
CSMT: Simultaneous Multithreading for Clustered VLIW Processors. |
IEEE Trans. Computers |
2010 |
DBLP DOI BibTeX RDF |
clustered VLIW architectures, ILP, simultaneous multithreading, multithreaded processors, VLIW architectures |
Displaying result #1 - #100 of 1093 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|