The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Prefetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1992 (15) 1993-1994 (17) 1995-1996 (23) 1997 (18) 1998-1999 (31) 2000 (23) 2001 (30) 2002 (37) 2003 (28) 2004 (53) 2005 (47) 2006 (28) 2007 (43) 2008 (31) 2009 (23) 2010 (15) 2011-2012 (26) 2013-2015 (17) 2016-2018 (19) 2019-2020 (21) 2021-2022 (18) 2023-2024 (12)
Publication types (Num. hits)
article(139) inproceedings(434) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 568 occurrences of 320 keywords

Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
120Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt Prefetch-Aware DRAM Controllers. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
109Xiaotong Zhuang, Hsien-Hsin S. Lee Reducing Cache Pollution via Dynamic Data Prefetch Filtering. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Prefetch, microarchitecture, memory subsystems
109Viji Srinivasan, Edward S. Davidson, Gary S. Tyson A Prefetch Taxonomy. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Prefetch algorithms, cache memory systems
100Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen Hardware Support for Prescient Instruction Prefetch. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
100Hui Song, Guohong Cao Cache-Miss-Initiated Prefetch in Mobile Environments. Search on Bibsonomy Mobile Data Management The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
89Jonas Skeppstedt Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis
79Javed I. Khan, Qingping Tao Webspace Surfing Patterns and Their Impact on Web Prefetching. Search on Bibsonomy CW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF User Interaction Behavior, Web Surfing, Prefetch, Web Engineering
79Kyungsu Kang, Jungsoo Kim, Heejun Shim, Chong-Min Kyung Software power estimation using IPI(inter-prefetch interval) power model for advanced off-the-shelf processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF IPI power model, black-box power model, prefetch power model, processor power modeling, software power estimation
79Tor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González 0001, Per Hammarlund, Hong Wang 0003, John Paul Shen A framework for modeling and optimization of prescient instruction prefetch. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, multithreading, analytical modeling, path expressions, helper threads, instruction prefetch
78Binny S. Gill, Luis Angel D. Bathen Optimal multistream sequential prefetching in a shared cache. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution
71Nicholas Kohout, Seungryul Choi, Dongkeun Kim, Donald Yeung Multi-Chain Prefetching: Effective Exploitation of Inter-Chain Memory Parallelism for Pointer-Chasing Codes. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
70Shaahin Hessabi, Mehdi Modarressi, Maziar Goudarzi, Hani JavanHemmat A Table-Based Application-Specific Prefetch Engine for Object-Oriented Embedded Systems. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
70Seungryul Choi, Nicholas Kohout, Sumit Pamnani, Dongkeun Kim, Donald Yeung A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory parallelism, pointer-chasing code, Data prefetching
70Wei-Kuo Liao, Chung-Ta King Proxy Prefetch and Prefix Caching. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
70Steven P. Vanderwiel, David J. Lilja A Compiler-Assisted Data Prefetch Controller. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
69Weili Li, Lixin Yu Efficient line buffer instruction cache scheme with prefetch. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF line buffer, cache, prefetch
69Philip A. Bernstein, Shankar Pal, David Shutt Context-based prefetch - an optimization for implementing objects on relations. Search on Bibsonomy VLDB J. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Caching, Object-oriented database, Prefetch, Object-relational mapping
60Heung Ki Lee, Baik Song An, Eun Jung Kim 0001 Adaptive Prefetching Scheme Using Web Log Mining in Cluster-Based Web Systems. Search on Bibsonomy ICWS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
60Qiong Zou, Xiao-Feng Li, Long-Bing Zhang Runtime Engine for Dynamic Profile Guided Stride Prefetching. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stride prefetching, runtime system, dynamic profiling
60Ali Ibrahim, William R. Cook Automatic Prefetching by Traversal Profiling in Object Persistence Architectures. Search on Bibsonomy ECOOP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
60Javed I. Khan, Qingping Tao Exploiting Webspace Organization for Accelerating Web Prefetching. Search on Bibsonomy Web Intelligence The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
60Jonas Skeppstedt, Michel Dubois 0001 Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems
60Hai Jin 0001, Chao Xie, Kaiqin Fan, Rong Hu, Yunfa Li 0001 Single Stream Image and Stream Network Prefetch in Streaming Media Proxy Caching. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Liangzhong Yin, Guohong Cao Adaptive power-aware prefetch in wireless networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60Liangzhong Yin, Guohong Cao, Chita R. Das, Ajeesh Ashraf Power-Aware Prefetch in Mobile Environments. Search on Bibsonomy ICDCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
59Wook-Shin Han, Woong-Kee Loh, Kyu-Young Whang Type-Level Access Pattern View: A Technique for Enhancing Prefetching Performance. Search on Bibsonomy DASFAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF navigational application, prefetch method, type-level path access log, type-level access pattern view
58Yasuo Ishii, Mary Inaba, Kei Hiraki Access map pattern matching for data cache prefetch. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache memory, data prefetch
58Robin Garner, Stephen M. Blackburn, Daniel Frampton Effective prefetch for mark-sweep garbage collection. Search on Bibsonomy ISMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, software prefetch, mark-sweep
58Thomas Alexander, Gershon Kedem Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Prefetch-buffer, cache, prediction, memory
50Weifeng Zhang 0003, Brad Calder, Dean M. Tullsen A Self-Repairing Prefetcher in an Event-Driven Dynamic Optimization Framework. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50José R. Brunheroto, Valentina Salapura, Fernando F. Redígolo, Dirk Hoenicke, Alan Gara Data cache prefetching design space exploration for BlueGene/L supercomputer. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50David M. Koppelman Neighborhood Prefetching on Multiprocessors Using Instruction History. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Junghoon Lee, Gyung-Leen Park, Sang-Wook Kim, Hye-Jin Kim 0004, Sung Y. Shin A hybrid prefetch policy for the retrieval of link-associated information on vehicular networks. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gateway cache, hybrid data fetch, route information retrieval, vehicular telematics network, response time
50Ozcan Ozturk 0001, Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy Prefetch throttling and data pinning for improving performance of shared caches. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney Prefetch inection based on hardware monitoring and object metadata. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization
50Junpei Niwa Prefetch Mechanism in Compiler-Assisted S-DSM System. Search on Bibsonomy ICPP Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Zhi Zheng 0007, Tony K. Y. Chan Optimized Neighbour Prefetch and Cache for Client-server Based Walkthrough. Search on Bibsonomy CW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Chia-Lin Yang, Alvin R. Lebeck, Hung-Wei Tseng 0001, Chien-Hao Lee Tolerating memory latency through push prefetching for pointer-intensive applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF linked data structures, pointer-chasing, Prefetch, memory hierarchy
48Tor M. Aamodt, Paul Chow Optimization of data prefetch helper threads with path-expression based statistical modeling. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimization, multithreading, analytical modeling, data prefetch, path expressions, helper threads
48Rajeev Balasubramonian Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures
48Ken W. Batcher, Robert A. Walker 0001 Cluster miss prediction with prefetch on miss for embedded CPU instruction caches. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch
40Valentina Salapura, José R. Brunheroto, Fernando F. Redígolo, Alan Gara Exploiting eDRAM bandwidth with data prefetching: simulation and measurements. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Spiros Kalogeropulos, Mahadevan Rajagopalan, Vikram Rao, Yonghong Song, Partha Tirumalai Processor Aware Anticipatory Prefetching in Loops. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Dan Wallin, Erik Hagersten Bundling: Reducing the Overhead of Multiprocessor Prefetchers. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Shih-Chang Lai, Shih-Lien Lu Hardware-based Pointer Data Prefetcher. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Youtao Zhang, Rajiv Gupta 0001 Enabling Partial Cache Line Prefetching Through Data Compression. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization
40Sathiamoorthy Manoharan, Chaitanya Reddy Yavasani Experiments with Sequential Prefetching. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40An-Chow Lai, Cem Fide, Babak Falsafi Dead-block prediction & dead-block correlating prefetchers. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Magnus Karlsson 0002, Fredrik Dahlgren, Per Stenström A Prefetching Technique for Irregular Accesses to Linked Data Structures. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh Performance modelling of speculative prefetching for compound requests in low bandwidth networks. Search on Bibsonomy WOWMOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compound requests, speculative prefetching, caching, Web
40Junichi Funasaka, Keizo Saisho, Akira Fukuda Automatic Selecting of Required NetNews Articles. Search on Bibsonomy APSEC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF NetNews, Cache system, Automatic selection, Simulation, Caching algorithm
40Chi-Hung Chi, Jun-Li Yuan Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Jim Pierce, Trevor N. Mudge Wrong-path Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Shih-wei Liao, Tzu-Han Hung, Donald Nguyen, Hucheng Zhou, Chinyen Chou, Chia-Heng Tu Prefetch optimizations on large-scale applications via parameter value prediction. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data center applications, machine learning
40Hsiao-Hsi Wang, Kuan-Ching Li, Kuo-Jen Wang, Ssu-Hsuan Lu On the Design and Implementation of an Effective Prefetch Strategy for DSM Systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF prefetching strategy, home-based, page hit, shared address space, DSM systems
40Fredy Rivera, Marcos Sánchez-Élez, Milagros Fernández, Román Hermida, Nader Bagherzadeh Low Power Data Prefetch for 3D Image Applications on Coarse-Grain Reconfigurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Lei Shi 0001, Bing Song, Xiaoguang Ding, Zhimin Gu, Lin Wei Web Prefetching Control Model Based on Prefetch-Cache Interaction. Search on Bibsonomy SKG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Soong Hyun Shin, Cheol Hong Kim, Chu Shik Jhon An Effective Instruction Cache Prefetch Policy by Exploiting Cache History Information. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Computer architecture, embedded processor, instruction cache, cache prefetching
40Maria Hong, Dongyeop Ryu, Jae-Chul Sir, Eun-Young Kim, Younghwan Lim Using a Transcode and Prefetch Method for Playing XML Contents Containing Multiple Multimedia Data on Mobile Terminals. Search on Bibsonomy EDBT Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Yasuhiko Okura, Yasushi Matsumura, Hajime Harauchi, Yoshiharu Sukenobu, Hiroko Kou, Syunsuke Kohyama, Norihiro Yasuda, Yuichiro Yamamoto, Kiyonari Inamura An Inductive Method for Automatic Generation of Referring Physician Prefetch Rules for PACS. Search on Bibsonomy J. Digit. Imaging The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Glenn Reinman, Brad Calder, Todd M. Austin High Performance and Energy Efficient Serial Prefetch Architecture. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Olivier Temam Streaming Prefetch. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Teruyuki Hasegawa, Toru Hasegawa, Toshihiko Kato, Kenji Suzuki 0003 A Video Retrieval Protocol with Video Data Prefetch and Packet Retransmission Considering Play-out Dead Line. Search on Bibsonomy ICNP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
39Aswinkumar Sridharan, Biswabandan Panda, André Seznec Band-Pass Prefetching: An Effective Prefetch Management Mechanism Using Prefetch-Fraction Metric in Multi-Core Systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
39Hui Kang, Jennifer L. Wong To hardware prefetch or not to prefetch?: a virtualized environment study and core binding approach. Search on Bibsonomy ASPLOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
39Dongkeun Kim, Donald Yeung A study of source-level compiler algorithms for automatic construction of pre-execution code. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism
39Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch
39Robert Yung Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor
38Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetch code generation, Multicore processor, local memories, software cache
38Juan Fang, Hongbo Zhang Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture
38Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, Víctor Viñals Combining Prefetch with Instruction Cache Locking in Multitasking Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetch, WCET, instruction cache
38Bin Chen, Nong Xiao, Zhiping Cai, Ji Wang DPM: A Demand-driven Virtual Disk Prefetch Mechanism for Mobile Personal Computing Environments. Search on Bibsonomy NPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF virtual disk, personal computing environment, peer-to-peer, virtual machine, prefetch
38Ying Chen, Resit Sendag, David J. Lilja Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF superthreaded architecture, wrong-thread executioin, wrong-path execution, wrong execution cache (WEC), data prefetch, multithreaded processor
38Martin De Prycker Representing the Effect of Instruction Prefetch in a Microprocessor Performance Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Best/worst case, instruction prefetch pipeline, memory speed, performance analysis, clock cycles
37Chen-Yong Cher, Antony L. Hosking, T. N. Vijaykumar Software prefetching for mark-sweep garbage collection: hardware analysis and software redesign. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF buffered prefetch, prefetch-on-grey, garbage collection, prefetching, cache architecture, breadth-first, mark-sweep, depth-first
30Eiman Ebrahimi, Onur Mutlu, Yale N. Patt Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti A compiler-directed data prefetching scheme for chip multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, chip multiprocessors, prefetching, helper thread
30Shih-Hao Hung, Chien-Cheng Wu, Chia-Heng Tu Optimizing the Embedded Caching and Prefetching Software on a Network-Attached Storage System. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Xiaotong Zhuang, Santosh Pande Power-efficient prefetching for embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit-differential addressing, offset assignment, embedded processors, Data prefetching
30Jean Christophe Beyler, Philippe Clauss Performance driven data cache prefetching in a dynamic software optimization system. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF binary instrumentation, data cache prefetching, dynamic optimization
30Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Kilo-instruction processors, runahead and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors
30Kyle J. Nesbit, James E. Smith 0001 Data Cache Prefetching Using a Global History Buffer. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30K. Y. Leung, Eric W. M. Wong, Kai-Hau Yeung Designing Efficient and Robust Caching Algorithms for Streaming-on-Demand Services on the Internet. Search on Bibsonomy World Wide Web The full citation details ... 2004 DBLP  DOI  BibTeX  RDF streaming-on-demand services, time-varying behavior, prefetching, content delivery networks, caching algorithms
30Yi Zhang, Steve Haga, Rajeev Barua Execution History Guided Instruction Prefetching. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetching, instruction cache, memory latency, instruction prefetching
30Xiaotong Zhuang, Santosh Pande Power-efficient prefetching via bit-differential offset assignment on embedded processors. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bit-differential addressing, offset assignment, embedded processors, data prefetching
30K. Y. Leung, Eric W. M. Wong, Kai-Hau Yeung Design of Distributed Video Cache System on the Internet. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Junehwa Song, Asit Dan, Dinkar Sitaram JINSIL: A middleware for presentation of composite multimedia objects in a distributed environment. Search on Bibsonomy Multim. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Trishul M. Chilimbi, Martin Hirzel Dynamic Hot Data Stream Prefetching for General-Purpose Programs. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data reference profiling, memory performance optimization, temporal profiling, prefetching, dynamic optimization, dynamic profiling
30Yi Zhang, Steve Haga, Rajeev Barua Execution history guided instruction prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, prefetching, hardware, instruction cache
30Robert Cooksey, Stéphan Jourdan, Dirk Grunwald A stateless, content-directed data prefetching mechanism. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Chi-Keung Luk, Todd C. Mowry Architectural and compiler support for effective instruction prefetching: a cooperative approach. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimization, instruction prefetching
30Hock-Beng Lim, Pen-Chung Yew Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design
30Chi-Hung Chi, Jun-Li Yuan Design Considerations of High Performance Data Cache with Prefetching. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Hock-Beng Lim, Pen-Chung Yew An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching
30Gregory T. Byrd, Michael J. Flynn Evaluation of Communication Mechanisms in Invalidate-Based Shared Memory Multiprocessors. Search on Bibsonomy PCRCW The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Junehwa Song, Asit Dan, Dinkar Sitaram Efficient Retrieval of Composite Multimedia Objects in the JINSIL Distributed System. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Shlomit S. Pinter, Adi Yoaz Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time
30Dean M. Tullsen, Susan J. Eggers Effective Cache Prefetching on Bus-Based Multiprocessors Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching
30Vijay Karamcheti, Andrew A. Chien A Comparison of Architectural Support for Messaging in the TMC CM-5 and the Cray T3D. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 575 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license