The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Reconvergence with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-2004 (18) 2005-2011 (15) 2013-2023 (16) 2024 (1)
Publication types (Num. hits)
article(15) inproceedings(35)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 31 occurrences of 31 keywords

Results
Found 50 publication records. Showing 50 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
188Biwei Liu, Shuming Chen, Hu Xiao Analysis of Glitch Reconvergence in Combinational Logic SER Estimation. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reconvergence, SER esitmation, SET
121Jamison D. Collins, Dean M. Tullsen, Hong Wang 0003 Control Flow Optimization Via Dynamic Reconvergence Prediction. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
66Shiy Xu, E. Edirisuriya A New Way of Detecting Reconvergent Fanout Branch Pairs in Logic Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Fanout branch, Reconvergence, Testability, Testable Design, Fanout
66Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Statistical timing analysis with path reconvergence and spatial correlations. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
66Sachin Shrivastava, Rajendra Pratap, Harindranath Parameswaran, Manuj Verma Crosstalk analysis using reconvergence correlation. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Jindrich Zejda, Paul Frain General framework for removal of clock network pessimism. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock network reconvergence, voltage and temperature delay variation, process, static timing analysis, deep sub-micron
48Wen Ching Wu, Chung-Len Lee 0001, Jwu E. Chen Identification of robust untestable path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF robust untestable path delay faults, path reconvergence of fanouts, ISCAS 85' circuits, total path delay faults, six-valued logic, propagation graph, ROUNTEST program, fault diagnosis, logic testing, delays, partitioning, ATPG, combinational circuits, combinational circuits, automatic testing, logic CAD, multivalued logic, logic partitioning, signal flow graphs, fault identification
47A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 SHAPER: synthesis for hybrid FPGA architectures containing PLA elements using reconvergence analysis. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Aiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaushik Roy 0001 Synthesis of skewed logic circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Skewed logic, optimization, synthesis, power
37R. Manimegalai, A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 MemMap-pd: Performance Driven Technology Mapping Algorithm for FPGAs with Embedded Memory Blocks. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen Single-fault fault-collapsing analysis in sequential logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
29Haibo Ge, Guanlong Meng, Bofeng Li Zero-Reconvergence PPP for Real-Time Low-Earth Satellite Orbit Determination in Case of Data Interruption. Search on Bibsonomy IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
29Liwei Ni, Zonglin Yang, Jiaxi Zhang 0001, Junfeng Liu, Huawei Li, Biwei Xie, Xinquan Li Adaptive Reconvergence-driven AIG Rewriting via Strategy Learning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Liwei Ni, Zonglin Yang, Jiaxi Zhang 0001, Junfeng Liu, Huawei Li, Biwei Xie, Xinquan Li Adaptive Reconvergence-driven AIG Rewriting via Strategy Learning. Search on Bibsonomy ICCD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Heinz Riener, Siang-Yun Lee, Alan Mishchenko, Giovanni De Micheli Boolean Rewriting Strikes Back: Reconvergence-Driven Windowing Meets Resynthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Juan Brenes, Alberto García-Martínez, Marcelo Bagnulo, Andra Lutu, Cristel Pelsser Power Prefixes Prioritization for Smarter BGP Reconvergence. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
29Sana Damani, Daniel R. Johnson, Mark Stephenson, Stephen W. Keckler, Eddie Q. Yan, Michael McKeown, Olivier Giroux Speculative reconvergence for improved SIMT efficiency. Search on Bibsonomy CGO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
29Lujie Zhang, Chang Liu 0019, Long Zhang 0004, Yang Guo 0003 一种基于SAT求解器的组合电路重汇聚现象分析方法 (Reconvergence Phenomena Analysis Method in Combinational Circuits Based on SAT Solver). Search on Bibsonomy 计算机科学 The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Kai-Hsun Chen, Ching-Yuan Chen, Jiun-Lang Huang Testability Measures Considering Circuit Reconvergence to Reduce ATPG Runtime. Search on Bibsonomy DDECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Irena Seremet, Samir Causevic An analysis of reconvergence delay when using BGP-LS/PCEP as southbound protocols. Search on Bibsonomy MIPRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Chang Liu 0019, Long Zhang 0004, Xu He, Yang Guo 0003 Analysis of SET Reconvergence and Hardening in the Combinational Circuit Using a SAT-Based Method. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Rodrigo C. Surita, Mario Lúcio Côrtes, Diego F. Aranha, Guido Araujo CRPUF: A modeling-resistant delay PUF based on cylindrical reconvergence. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Yaohua Wang, Xiaowen Chen, Dong Wang, Sheng Liu 0001 Dynamic Per-Warp Reconvergence Stack for Efficient Control Flow Handling in GPUs. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Rodrigo C. Surita, Mario Lúcio Côrtes, Diego F. Aranha, Guido Araujo Cylindrical Reconvergence Physical Unclonable Function. Search on Bibsonomy DSD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Walid J. Ghandour, Nadine J. Ghandour Position Paper: Leveraging Strength-Based Dynamic Slicing to Identify Control Reconvergence Instructions. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Nicolas Brunie, Caroline Collange Reconvergence de contrôle implicite pour les architectures SIMT. Search on Bibsonomy Tech. Sci. Informatiques The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Samuel N. Pagliarini, Tian Ban, Lirida A. B. Naviner, Jean-François Naviner Reliability assessment of combinational logic using first-order-only fanout reconvergence analysis. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Qingyu Liu, Yuchun Ma, Yu Wang 0002, Wayne Luk, Jinian Bian RALP: Reconvergence-aware layer partitioning for 3D FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Bart Puype, Dimitri Papadimitriou, Goutam Das, Didier Colle, Mario Pickavet, Piet Demeester OSPF failure reconvergence through SRG inference and prediction of link state advertisements. Search on Bibsonomy SIGCOMM The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
29Bart Puype, Dimitri Papadimitriou, Goutam Das, Didier Colle, Mario Pickavet, Piet Demeester SRLG Inference in OSPF for Improved Reconvergence after Failures. Search on Bibsonomy ServiceWave The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
29Yinlei Yu, Cameron Brien, Sharad Malik Exploiting Circuit Reconvergence through Static Learning in CNF SAT Solvers. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29A. Manoj Kumar, Jayaram Bobba, V. Kamakoti 0001 MemMap: Technology Mapping Algorithm for Area Reduction in FPGAs with Embedded Memory Arrays Using Reconvergence Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29R. Manimegalai, B. Jayaram 0002, A. Manoj Kumar, V. Kamakoti 0001 SHAPER: synthesis for hybrid FPGAs containing PLAs using reconvergence analysis. Search on Bibsonomy FPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mayank Agarwal, Kshitiz Malik, Kevin M. Woley, Sam S. Stone, Matthew I. Frank Exploiting Postdominance for Speculative Parallelization. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava 0001, Miodrag Potkonjak Statistical timing analysis using Kernel smoothing. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Lizheng Zhang, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Statistical static timing analysis with conditional linear MAX/MIN approximation and extended canonical timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Milos Hrkic, John Lillis, Giancarlo Beraudo An Approach to Placement-Coupled Logic Replication. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hosung (Leo) Kim, John Lillis, Milos Hrkic Techniques for improved placement-coupled logic replication. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, timing optimization, programmable logic, logic replication
18Lizheng Zhang, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Block based statistical timing analysis with extended canonical timing model. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Min Pan, Chris C. N. Chu, Hai Zhou 0001 Timing yield estimation using statistical static timing analysis. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara Reducibility of Sequential Test Generation to Combinational Test Generation for Several Delay Fault Models. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Gianluca Iannaccone, Chen-Nee Chuah, Richard Mortier, Supratik Bhattacharyya, Christophe Diot Analysis of link failures in an IP backbone. Search on Bibsonomy Internet Measurement Workshop The full citation details ... 2002 DBLP  DOI  BibTeX  RDF IP
18Harm Arts, Michel R. C. M. Berkelaar, Koen van Eijk Computing observability don't cares efficiently through polarization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Yiorgos Makris, Alex Orailoglu RTL Test Justification and Propagation Analysis for Modular Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF RTL testability analysis, test justification, test propagation, DFT, modular design
18José Monteiro 0001, Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer, Jacob K. White 0001 Estimation of average switching activity in combinational logic circuits using symbolic simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Hoon Choi, Seung Ho Hwang Improving the accuracy of support-set finding method for power estimation of combinational circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Yuji Kukimoto, Wilsin Gosti, Alexander Saldanha, Robert K. Brayton Approximate timing analysis of combinational circuits under the XBD0 model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay computation, timing analysis, False path
18Peter H. Schneider, Ulf Schlichtmann, Bernd Wurth Fast Power Estimation of Large Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Harm Arts, Michel R. C. M. Berkelaar, C. A. J. van Eijk Polarized observability don't cares. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #50 of 50 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license