Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
127 | Vivy Suhendra, Abhik Roychoudhury, Tulika Mitra |
Scratchpad allocation for concurrent embedded software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 37-42, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
WCET, message sequence chart, scratchpad memory |
121 | Manish Verma, Peter Marwedel |
Overlay techniques for scratchpad memories in low power embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(8), pp. 802-815, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
121 | Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran |
A novel instruction scratchpad memory optimization method based on concomitance metric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 612-617, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
107 | Jack Whitham, Neil C. Audsley |
Implementing time-predictable load and store operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 9th ACM & IEEE International conference on Embedded software, EMSOFT 2009, Grenoble, France, October 12-16, 2009, pp. 265-274, 2009, ACM, 978-1-60558-627-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
wcet, scratchpad |
106 | Bin Xie 0002, Qingsong Shi, Tianzhou Chen, Feng Sha |
Program Sections Allocation to Scratchpad Memory based on Frequency Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNSC ![In: Proceedings of the IEEE International Conference on Networking, Sensing and Control, ICNSC 2008, Hainan, China, 6-8 April 2008, pp. 1164-1168, 2008, IEEE, 978-1-4244-1685-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
98 | Francesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias |
An integrated hardware/software approach for run-time scratchpad management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 238-243, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
AMBA AHB, scratchpad, DMA, dynamic allocation |
92 | Jean-François Deverge, Isabelle Puaut |
WCET-Directed Dynamic Scratchpad Memory Allocation of Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 19th Euromicro Conference on Real-Time Systems, ECRTS'07, 4-6 July 2007, Pisa, Italy, Proceedings, pp. 179-190, 2007, IEEE Computer Society, 978-0-7695-2914-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
92 | Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran |
Exploiting statistical information for implementation of instruction scratchpad memory in embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(8), pp. 816-829, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
92 | Manish Verma, Lars Wehmeyer, Peter Marwedel |
Cache-Aware Scratchpad-Allocation Algorithms for Energy-Constrained Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(10), pp. 2035-2051, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
92 | Manish Verma, Lars Wehmeyer, Peter Marwedel |
Cache-Aware Scratchpad Allocation Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1264-1269, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
92 | Andhi Janapsatya, Sri Parameswaran, Aleksandar Ignjatovic |
Hardware/software managed scratchpad memory for embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 370-377, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
83 | José Baiocchi, Bruce R. Childers |
Heterogeneous code cache: using scratchpad and main memory in dynamic binary translators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 744-749, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dynamic binary translation, scratchpad, software caching |
83 | Manish Verma, Lars Wehmeyer, Peter Marwedel |
Dynamic overlay of scratchpad memory for energy minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 104-109, 2004, ACM, 1-58113-937-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
overlay, scratchpad, dynamic allocation |
77 | Sebastian Hessel, Felix Bruns, Attila Bilgic, Adam Lackorzynski, Hermann Härtig, Josef Hausner |
Acceleration of the L4/Fiasco microkernel using scratchpad memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiVirt ![In: Proceedings of the First Workshop on Virtualization in Mobile Computing, Breckenridge, CO, USA, June 17, 2008, pp. 6-10, 2008, ACM, 978-1-60558-328-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
77 | Vivy Suhendra, Tulika Mitra, Abhik Roychoudhury, Ting Chen |
WCET Centric Data Allocation to Scratchpad Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 26th IEEE Real-Time Systems Symposium (RTSS 2005), 6-8 December 2005, Miami, FL, USA, pp. 223-232, 2005, IEEE Computer Society, 0-7695-2490-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
77 | Manish Verma, Klaus Petzold, Lars Wehmeyer, Heiko Falk, Peter Marwedel |
Scratchpad Sharing Strategies for Multiprocess Embedded Systems: A First Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, USA, pp. 115-120, 2005, IEEE Computer Society, 0-7803-9347-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
72 | Aleksandar Beric, Jef L. van Meerbergen, Gerard de Haan, Ramanathan Sethuraman |
Memory-Centric Video Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 18(4), pp. 439-452, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
69 | Bernhard Egger 0002, Jaejin Lee, Heonshik Shin |
Dynamic scratchpad memory management for code in portable systems with an MMU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 7(2), pp. 11:1-11:38, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
compilers, virtual memory, paging, scratchpad, victim cache, Code placement, postpass optimization, portable systems, heterogeneous memory |
69 | Bernhard Egger 0002, Jaejin Lee, Heonshik Shin |
Scratchpad memory management in a multitasking environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 8th ACM & IEEE International conference on Embedded software, EMSOFT 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 265-274, 2008, ACM, 978-1-60558-468-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
compilers, virtual memory, paging, multitasking, scratchpad, code placement, postpass optimization, portable systems, heterogeneous memory |
69 | Hyungmin Cho, Bernhard Egger 0002, Jaejin Lee, Heonshik Shin |
Dynamic data scratchpad memory management for a memory subsystem with an MMU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 195-206, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
horizontally-partitioned memory, post-pass optimization, compilers, scratchpad memory, demand paging |
69 | Bernhard Egger 0002, Jaejin Lee, Heonshik Shin |
Scratchpad memory management for portable systems with a memory management unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 6th ACM & IEEE International conference on Embedded software, EMSOFT 2006, October 22-25, 2006, Seoul, Korea, pp. 321-330, 2006, ACM, 1-59593-542-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
compilers, virtual memory, paging, scratchpad, code placement, postpass optimization, portable systems, heterogeneous memory |
69 | Federico Angiolini, Francesco Menichelli, Alberto Ferrero, Luca Benini, Mauro Olivieri |
A post-compiler approach to scratchpad mapping of code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2004, Washington DC, USA, September 22 - 25, 2004, pp. 259-267, 2004, ACM, 1-58113-890-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
executable patching, post-compiler processing, dynamic programming, memory hierarchy, optimization algorithm, design automation, power saving, scratchpad memory, embedded design |
69 | Federico Angiolini, Luca Benini, Alberto Caprara |
Polynomial-time algorithm for on-chip scratchpad memory partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 318-326, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dynamic programming, memory hierarchy, design automation, power saving, scratchpad memory, partitioning algorithm, embedded design |
69 | Robert S. Sutor, Richard D. Jenks |
The type inference and coercion facilities in the scratchpad II interpreter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the Symposium on Interpreters and Interpretive Techniques, 1987, St. Paul, Minnesota, USA, June 24 - 26, 1987, pp. 56-63, 1987, ACM, 0-89791-235-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
SCRATCHPAD |
63 | Robert Pyka, Christoph Faßbach, Manish Verma, Heiko Falk, Peter Marwedel |
Operating system integrated energy aware scratchpad allocation strategies for multiprocess applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, Nice, France, April 20, 2007, pp. 41-50, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
63 | Federico Angiolini, Luca Benini, Alberto Caprara |
An efficient profile-based algorithm for scratchpad memory partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(11), pp. 1660-1676, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
63 | Manish Verma, Lars Wehmeyer, Peter Marwedel |
Efficient Scratchpad Allocation Algorithms for Energy Constrained Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, Third International Workshop, PACS 2003, SanDiego, CA, USA, December 1, 2003, Revised Papers, pp. 41-56, 2003, Springer, 3-540-24031-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
58 | Stamatis G. Kavadias, Manolis Katevenis, Michail Zampetakis, Dimitrios S. Nikolopoulos |
On-chip communication and synchronization mechanisms with cache-integrated network interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 217-226, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
explicit communication, inter-processor synchronization, cache, network interface |
58 | Jack Whitham, Neil C. Audsley |
Using Trace Scratchpads to Reduce Execution Times in Predictable Real-Time Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2008, April 22-24, 2008, St. Louis, Missouri, USA, pp. 305-316, 2008, IEEE Computer Society, 978-0-7695-3146-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wcet, reduction, trace, hard real-time, scratchpads |
54 | Lian Li 0002, Hui Feng, Jingling Xue |
Compiler-directed scratchpad memory management via graph coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 6(3), pp. 9:1-9:17, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
live range splitting, memory coloring, graph coloring, memory allocation, Scratchpad memory, register coalescing, software-managed cache |
54 | Armin Größlinger |
Precise Management of Scratchpad Memories for Localising Array Accesses in Scientific Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 18th International Conference, CC 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 236-250, 2009, Springer, 978-3-642-00721-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
software-managed data cache, array localisation, polyhedron model, embedded systems, scratchpad memory |
54 | Degui Feng, Guanjun Jiang, Tiefei Zhang, Wei Hu 0001, Tianzhou Chen, Mingteng Cao |
SPMTM: A Novel ScratchPad Memory Based Hybrid Nested Transactional Memory Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings, pp. 67-81, 2009, Springer, 978-3-642-03643-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
synchronization, Chip multiprocessor, transactional memory, scratchpad memory |
54 | Heiko Falk, Jan C. Kleinsorge |
Optimal static WCET-aware scratchpad allocation of program code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 732-737, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scratchpad allocation, WCET |
54 | Amit Pabalkar, Aviral Shrivastava, Arun Kannan, Jongeun Lee |
SDRM: Simultaneous Determination of Regions and Function-to-Region Mapping for Scratchpad Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings, pp. 569-582, 2008, Springer, 978-3-540-89893-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Code overlay, Compilers, Scratchpad memory, Static code analysis |
54 | Lian Li 0002, Quan Hoang Nguyen 0001, Jingling Xue |
Scratchpad allocation for data aggregates in superperfect graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 207-216, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SPM allocation, interval coloring, superperfect graph, scratchpad memory, SPM |
54 | José Baiocchi, Bruce R. Childers, Jack W. Davidson, Jason Hiser, Jonathan Misurda |
Fragment cache management for dynamic binary translators in embedded systems with scratchpad. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 75-84, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded systems, dynamic binary translation, scratchpad |
54 | Vivy Suhendra, Chandrashekar Raghavan, Tulika Mitra |
Integrated scratchpad memory optimization and task scheduling for MPSoC architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 401-410, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, MPSoC, scratchpad memory, task mapping |
48 | Wanessa Pereira Dias, Emilia Colonese |
Performance Analysis of Cache and Scratchpad Memory in an Embedded High Performance Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fifth International Conference on Information Technology: New Generations (ITNG 2008), 7-8 April 2008, Las Vegas, Nevada, USA, pp. 657-661, 2008, IEEE Computer Society, 978-0-7695-3099-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
performance, cache, memory |
48 | Bert Geelen, Aris Ferentinos, Francky Catthoor, Arnout Vandecappelle, Gauthier Lafruit, Thanos Stouraitis, Rudy Lauwereins, Diederik Verkest |
Software-Controlled Scratchpad Mapping Strategies for Wavelet-Based Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: Proceedings of the IEEE Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada, pp. 362-367, 2006, IEEE, 1-4244-0382-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
48 | Carl S. Lebsack, J. Morris Chang |
Using Scratchpad to Exploit Object Locality in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 381-386, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
48 | Stefan Steinke, Lars Wehmeyer, Bo-Sik Lee, Peter Marwedel |
Assigning Program and Data Objects to Scratchpad for Energy Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 409-415, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
48 | George E. Andrews |
Application of Scratchpad to Problems in Special Functions and Combinatorics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trends in Computer Algebra ![In: Trends in Computer Algebra, International Symposium, Bad Neuenahr, Germany, May 19-21, 1987, Proceedings, pp. 158-166, 1987, Springer, 3-540-18928-9. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
43 | Joseph Gebis, Leonid Oliker, John Shalf, Samuel Williams 0001, Katherine A. Yelick |
Improving Memory Subsystem Performance Using ViVA: Virtual Vector Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2009, 22nd International Conference, Delft, The Netherlands, March 10-13, 2009. Proceedings, pp. 146-158, 2009, Springer, 978-3-642-00453-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
43 | Lars Wehmeyer, Peter Marwedel |
Influence of Memory Hierarchies on Predictability for Time Constrained Embedded Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 600-605, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
43 | Lars Wehmeyer, Urs Helmig, Peter Marwedel |
Compiler-optimized usage of partitioned memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 114-120, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Mirko Loghi, Olga Golubeva, Enrico Macii, Massimo Poncino |
Architectural Leakage Power Minimization of Scratchpad Memories by Application-Driven Subbanking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(7), pp. 891-904, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
memory hierarchy, leakage power, Power optimization, scratchpad memory, partitioning algorithm, embedded design |
40 | Bernhard Egger 0002, Seungkyun Kim, Choonki Jang, Jaejin Lee, Sang Lyul Min, Heonshik Shin |
Scratchpad Memory Management Techniques for Code in Embedded Systems without an MMU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(8), pp. 1047-1062, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
embedded systems, Compilers, scratchpad memory, demand paging, code placement, postpass optimization |
40 | Binbin Wu, Xingsheng Tang, Hui Yuan, Qingsong Shi, Jiexiang Kang, Tianzhou Chen |
Virtual I/O Based on ScratchPad Memory for Embedded System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: 10th IEEE International Conference on Computer and Information Technology, CIT 2010, Bradford, West Yorkshire, UK, June 29-July 1, 2010, pp. 2250-2254, 2010, IEEE Computer Society, 978-0-7695-4108-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
virtual I/O, embedded system, scratchpad memory |
40 | Jack Whitham, Neil C. Audsley |
Studying the Applicability of the Scratchpad Memory Management Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: 16th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2010, Stockholm, Sweden, April 12-15, 2010, pp. 205-214, 2010, IEEE Computer Society, 978-0-7695-4001-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Embedded Hard Real-time, WCET, Scratchpad |
40 | Bernhard Egger 0002, Chihun Kim, Choonki Jang, Yoonsung Nam, Jaejin Lee, Sang Lyul Min |
A dynamic code placement technique for scratchpad memory using postpass optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 223-233, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, compilers, scratchpad memory, demand paging, code placement, postpass optimization, heterogeneous memory |
40 | Rajeshwari Banakar, Stefan Steinke, Bo-Sik Lee, M. Balakrishnan, Peter Marwedel |
Scratchpad memory: design alternative for cache on-chip memory in embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES ![In: Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002, pp. 73-78, 2002, ACM, 1-58113-542-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Cactis, SCRATCHPAD |
40 | William H. Burge |
Scratchpad and the Rogers-Ramanujan Identities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSAC ![In: Proceedings of the 1991 International Symposium on Symbolic and Algebraic Computation, ISSAC '91, Bonn, Germany, July 15-17, 1991, pp. 189-190, 1991, ACM, 0-89791-437-6. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
SCRATCHPAD |
39 | Leila Delshadtehrani, Hamed Farbeh, Seyed Ghassem Miremadi |
In-Scratchpad Memory Replication: Protecting Scratchpad Memories in Multicore Embedded Systems against Soft Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 20(4), pp. 61:1-61:28, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
34 | Aditya Yanamandra, Bryan Cover, Padma Raghavan, Mary Jane Irwin, Mahmut T. Kandemir |
Evaluating the role of scratchpad memories in chip multiprocessors for sparse matrix computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-10, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Olga Golubeva, Mirko Loghi, Massimo Poncino, Enrico Macii |
Architectural leakage-aware management of partitioned scratchpad memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1665-1670, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Isabelle Puaut, Christophe Pais |
Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1484-1489, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | David Gotz |
The ScratchPad: sensemaking support for the web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 16th International Conference on World Wide Web, WWW 2007, Banff, Alberta, Canada, May 8-12, 2007, pp. 1329-1330, 2007, ACM, 978-1-59593-654-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
visual snalytics, WWW, web browser, sensemaking |
34 | Lian Li 0002, Hui Wu 0001, Hui Feng, Jingling Xue |
Towards Data Tiling for Whole Programs in Scratchpad Memory Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 12th Asia-Pacific Conference, ACSAC 2007, Seoul, Korea, August 23-25, 2007, Proceedings, pp. 63-74, 2007, Springer, 978-3-540-74308-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Yuriko Ishitobi, Tohru Ishihara, Hiroto Yasuura |
Code Placement for Reducing the Energy Consumption of Embedded Processors with Scratchpad and Cache Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2007, October 4-5, Salzburg, Austria, conjunction with CODES+ISSS 2007, pp. 13-18, 2007, IEEE Computer Society, 978-1-4244-1654-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Lian Li 0002, Lin Gao 0002, Jingling Xue |
Memory Coloring: A Compiler Approach for Scratchpad Memory Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 17-21 September 2005, St. Louis, MO, USA, pp. 329-338, 2005, IEEE Computer Society, 0-7695-2429-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Jingling Xue |
Compiler-Directed Scratchpad Memory Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, Second International Conference, ICESS 2005, Xi'an, China, December 16-18, 2005, Proceedings, pp. 2, 2005, Springer, 3-540-30881-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Fritz Schwarz |
Programming with Abstract Data Types: The Symmetry Package SPDE in Scratchpad. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trends in Computer Algebra ![In: Trends in Computer Algebra, International Symposium, Bad Neuenahr, Germany, May 19-21, 1987, Proceedings, pp. 167-176, 1987, Springer, 3-540-18928-9. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
34 | Richard D. Jenks, Robert S. Sutor, Stephen M. Watt |
Scratchpad II: An Abstract Datatype System for Mathematical Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trends in Computer Algebra ![In: Trends in Computer Algebra, International Symposium, Bad Neuenahr, Germany, May 19-21, 1987, Proceedings, pp. 12-37, 1987, Springer, 3-540-18928-9. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
29 | Ross McIlroy, Peter Dickman, Joe Sventek |
Efficient dynamic heap allocation of scratch-pad memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 7th International Symposium on Memory Management, ISMM 2008, Tucson, AZ, USA, June 7-8, 2008, pp. 31-40, 2008, ACM, 978-1-60558-134-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
on-core memory, concurrency, memory management |
29 | Jason E. Miller, Anant Agarwal |
Software-based instruction caching for embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 293-302, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
instruction cache, chaining, software caching |
29 | Hanene Ben Fradj, Asmaa el Ouardighi, Cécile Belleudy, Michel Auguin |
Energy aware memory architecture configuration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 33(3), pp. 3-9, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Derek Chiou, Prabhat Jain, Larry Rudolph, Srinivas Devadas |
Application-specific memory management for embedded systems using software-controlled caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 416-419, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
29 | Albrecht Fortenbacher |
Efficient Type Inference and Coercion in Computer Algebra. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISCO ![In: Design and Implementation of Symbolic Computation Systems, International Symposium, DISCO '90, Capri, Italy, April 10-12, 1990, Proceedings, pp. 56-60, 1990, Springer, 3-540-52531-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
20 | Sangyeol Kang, Alexander G. Dean |
DARTS: Techniques and Tools for Predictably Fast Memory Using Integrated Data Allocation and Real-Time Task Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: 16th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2010, Stockholm, Sweden, April 12-15, 2010, pp. 333-342, 2010, IEEE Computer Society, 978-0-7695-4001-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Memory reallocation, Preemption threshold scheduling, Scratchpad memory |
20 | Yongbin Zhou, Junchao Zhang, Shuai Zhang, Nan Yuan, Dongrui Fan |
Data Management: The Spirit to Pursuit Peak Performance on Many-Core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2009, Chengdu, Sichuan, China, 10-12 August 2009, pp. 559-564, 2009, IEEE Computer Society, 978-0-7695-3747-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
1-D FFT, asynchronous data transfer, Many-core, Scratchpad memory |
20 | Muthu Manikandan Baskaran, Uday Bondhugula, Sriram Krishnamoorthy, J. Ramanujam, Atanas Rountev, P. Sadayappan |
Automatic data movement and computation mapping for multi-level parallel architectures with explicitly managed memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 13th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2008, Salt Lake City, UT, USA, February 20-23, 2008, pp. 1-10, 2008, ACM, 978-1-59593-795-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
graphics processor unit, multi-level tiling, scratchpad memory, data movement |
20 | Carl S. Lebsack, J. Morris Chang |
System level perspective on object locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 20th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2005, October 16-20, 2005, San Diego, CA, USA, pp. 244-245, 2005, ACM, 1-59593-193-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
access density, Java, cache, locality, scratchpad, generational garbage collection |
20 | Stéphane Dalmas |
A Polymorphic Functional Language Applied to Symbolic Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSAC ![In: Proceedings of the 1992 International Symposium on Symbolic and Algebraic Computation, ISSAC '92, Berkeley, CA, USA, July 27-29, 1992, pp. 369-375, 1992, ACM, 0-89791-489-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
SCRATCHPAD |
20 | Manuel Bronstein |
The Risch Differential equation on an Algebraic Curve. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSAC ![In: Proceedings of the 1991 International Symposium on Symbolic and Algebraic Computation, ISSAC '91, Bonn, Germany, July 15-17, 1991, pp. 241-246, 1991, ACM, 0-89791-437-6. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
Maple, SCRATCHPAD |
20 | Lingqi Zhang 0001, Mohamed Wahib, Peng Chen 0035, Jintao Meng, Xiao Wang 0004, Toshio Endo, Satoshi Matsuoka |
Exploiting Scratchpad Memory for Deep Temporal Blocking: A case study for 2D Jacobian 5-point iterative stencil kernel (j2d5pt). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2306.03336, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Sourjya Roy, Cheng Wang, Anand Raghunathan |
Evaluation of STT-MRAM as a Scratchpad for Training in ML Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2308.02024, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Tommaso Marinelli, José Ignacio Gómez Pérez, Christian Tenllado, Francky Catthoor |
COMPAD: A heterogeneous cache-scratchpad CPU architecture with data layout compaction for embedded loop-dominated applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 145, pp. 103022, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Hyuk-Jin Jeong, JiHwan Yeo, Cheongyo Bahk, JongHyun Park |
Pin or Fuse? Exploiting Scratchpad Memory to Reduce Off-Chip Data Transfer in DNN Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the 21st ACM/IEEE International Symposium on Code Generation and Optimization, CGO 2023, Montréal, QC, Canada, 25 February 2023- 1 March 2023, pp. 224-235, 2023, ACM, 979-8-4007-0101-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Julián Pavón, Iván Vargas Valdivieso, Joan Marimon, Roger Figueras, Francesc Moll, Osman S. Unsal, Mateo Valero, Adrián Cristal |
VAQUERO: A Scratchpad-based Vector Accelerator for Query Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High-Performance Computer Architecture, HPCA 2023, Montreal, QC, Canada, February 25 - March 1, 2023, pp. 1289-1302, 2023, IEEE, 978-1-6654-7652-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Essa Imhmed, Edgar Eduardo Ceh-Varela, Jonathan E. Cook, Caleb Parten |
Evaluation of the Performance Impact of SPM Allocation on a Novel Scratchpad Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 47th IEEE Annual Computers, Software, and Applications Conference, COMPSAC 2023, Torino, Italy, June 26-30, 2023, pp. 972-973, 2023, IEEE, 979-8-3503-2697-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Shriyash Upadhyay, Etan Ginsberg, Chris Callison-Burch |
Improving Mathematics Tutoring With A Code Scratchpad. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BEA@ACL ![In: Proceedings of the 18th Workshop on Innovative Use of NLP for Building Educational Applications, BEA@ACL 2023, Toronto, Canada, 13 July 2023., pp. 20-28, 2023, Association for Computational Linguistics. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Lingqi Zhang 0001, Mohamed Wahib, Peng Chen 0035, Jintao Meng, Xiao Wang 0004, Toshio Endo, Satoshi Matsuoka |
Exploiting Scratchpad Memory for Deep Temporal Blocking: A case study for 2D Jacobian 5-point iterative stencil kernel (j2d5pt). ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPGPU@PPoPP ![In: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, GPGPU 2023, Montreal, Canada, 25 February 2023, pp. 34-35, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Lin Cheng, Max Ruttenberg, Dai Cheol Jung, Dustin Richmond, Michael B. Taylor, Mark Oskin, Christopher Batten |
Beyond Static Parallel Loops: Supporting Dynamic Task Parallelism on Manycore Architectures with Software-Managed Scratchpad Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS (3) ![In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, ASPLOS 2023, Vancouver, BC, Canada, March 25-29, 2023, pp. 46-58, 2023, ACM, 978-1-4503-9918-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Kavita Tabbassum, Shahnawaz Talpur, Shahnawaz Farhan Khahro |
An interactive and dynamic scratchpad memory management strategy for multi-core processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 92, pp. 104565, July 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Robert Wittig, Philipp Schulz, Emil Matús, Gerhard P. Fettweis |
Accurate Estimation of Service Rates in Interleaved Scratchpad Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 21(1), pp. 4:1-4:15, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Subhankar Pal, Swagath Venkataramani, Viji Srinivasan, Kailash Gopalakrishnan |
OnSRAM: Efficient Inter-Node On-Chip Scratchpad Management in Deep Learning Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 21(6), pp. 86:1-86:29, November 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Mohsen Shekarisaz, Ali Hoseinghorban, Mostafa Bazzaz, Mohammad Salehi, Alireza Ejlali |
MASTER: Reclamation of Hybrid Scratchpad Memory to Maximize Energy Saving in Multi-Core Edge Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Sustain. Comput. ![In: IEEE Trans. Sustain. Comput. 7(4), pp. 749-760, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Essa Imhmed, Jonathan E. Cook, Abdel-Hameed A. Badawy |
Evaluation of a Novel Scratchpad Memory through Compiler Supported Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPEC ![In: IEEE High Performance Extreme Computing Conference, HPEC 2022, Waltham, MA, USA, September 19-23, 2022, pp. 1-7, 2022, IEEE, 978-1-6654-9786-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Zhenxing Zhang, Shiyan Sun, Xunyu Chen, Tian Zhi, Qi Guo 0001, Yunji Chen |
Space-address decoupled scratchpad memory management for neural network accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 33(6), 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Cong Thuan Do, Jeong Hwan Choi, Young Seo Lee, Cheol Hong Kim, Sung Woo Chung |
Enhancing Matrix Multiplication With a Monolithic 3-D-Based Scratchpad Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Embed. Syst. Lett. ![In: IEEE Embed. Syst. Lett. 13(2), pp. 57-60, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Xiaohan Tao, Jianmin Pang, Jinlong Xu, Yu Zhu |
Compiler-directed scratchpad memory data transfer optimization for multithreaded applications on a heterogeneous many-core architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 77(12), pp. 14502-14524, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Alberto Marchisio, Vojtech Mrazek, Muhammad Abdullah Hanif, Muhammad Shafique 0001 |
DESCNet: Developing Efficient Scratchpad Memories for Capsule Network Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(9), pp. 1768-1781, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Abhishek Singh, Shail Dave, Pantea Zardoshti, Robert Brotzman, Chao Zhang 0039, Xiaochen Guo, Aviral Shrivastava, Gang Tan, Michael F. Spear |
SPX64: A Scratchpad Memory for General-purpose Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 18(1), pp. 14:1-14:26, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Kaustubh Shivdikar |
SMASH: Sparse Matrix Atomic Scratchpad Hashing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2105.14156, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
20 | Farzaneh Zokaee, Lei Jiang 0001 |
SMART: A Heterogeneous Scratchpad Memory Architecture for Superconductor SFQ-based Systolic CNN Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2109.01269, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
20 | Farzaneh Zokaee, Lei Jiang 0001 |
SMART: A Heterogeneous Scratchpad Memory Architecture for Superconductor SFQ-based Systolic CNN Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021, pp. 912-924, 2021, ACM, 978-1-4503-8557-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Julian Pavon, Iván Vargas Valdivieso, Adrián Barredo, Joan Marimon, Miquel Moretó, Francesc Moll, Osman S. Unsal, Mateo Valero, Adrián Cristal |
VIA: A Smart Scratchpad for Vector Units with Application to Sparse Matrix Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High-Performance Computer Architecture, HPCA 2021, Seoul, South Korea, February 27 - March 3, 2021, pp. 921-934, 2021, IEEE, 978-1-6654-2235-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Jaewook Lee, Yoonah Paik, Seon Wook Kim |
Tile-based Code Generation for Efficiently Accessing to Scratchpad Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEIC ![In: International Conference on Electronics, Information, and Communication, ICEIC 2021, Jeju, South Korea, January 31 - February 3, 2021, pp. 1-3, 2021, IEEE, 978-1-7281-9161-4. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Robert Wittig |
Architectures and Theoretical Models for Shared Scratchpad Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2021 |
RDF |
|
20 | Alexandru E. Susu |
A Vector-Length Agnostic Compiler for the Connex-S Accelerator with Scratchpad Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 19(6), pp. 51:1-51:30, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|