The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SMT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1998 (18) 1999-2000 (15) 2001 (15) 2002 (19) 2003 (21) 2004 (51) 2005 (67) 2006 (79) 2007 (99) 2008 (112) 2009 (144) 2010 (101) 2011 (99) 2012 (145) 2013 (102) 2014 (143) 2015 (126) 2016 (153) 2017 (116) 2018 (86) 2019 (91) 2020 (98) 2021 (101) 2022 (91) 2023 (100) 2024 (17)
Publication types (Num. hits)
article(453) incollection(6) inproceedings(1704) phdthesis(36) proceedings(10)
Venues (Conferences, Journals, ...)
CoRR(146) SMT(71) EAMT(40) FMCAD(38) CAV(33) CADE(28) SMT@IJCAR(26) IPDPS(21) AMTA(20) IWSLT(20) SAT(20) CAV (2)(19) HPCA(19) MTSummit(18) DATE(16) ATVA(15) More (+10 of total 752)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 542 occurrences of 335 keywords

Results
Found 2210 publication records. Showing 2209 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
137Carmelo Acosta, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MFLUSH: Handling Long-Latency Loads in SMT On-Chip Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
120James Burns, Jean-Luc Gaudiot Quantifying the SMT Layout Overhead-Does SMT Pull Its Weight? Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Simultaneous Multi-Threading (SMT)
108James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Throughput. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade off, processor architecture, SMT
108James Burns, Jean-Luc Gaudiot SMT Layout Overhead and Scalability. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade-off, processor architecture, SMT
99Zeshan Chishti, T. N. Vijaykumar Optimal Power/Performance Pipeline Depth for SMT in Scaled Technologies. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power Management, Performance of Systems, Multithreaded processors
99Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
96Luke K. McDowell, Susan J. Eggers, Steven D. Gribble Improving server software support for simultaneous multithreaded processors. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF servers, simultaneous multithreading, runtime support
96Carmelo Acosta, Ayose Falcón, Alex Ramírez, Mateo Valero A Complexity-Effective Simultaneous Multithreading Architecture. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complexity-Effective, Heterogeneity-Awareness, Mapping Policies, Clustering, CMP, SMT
95Yaoping Ruan, Vivek S. Pai, Erich M. Nahum, John M. Tracey Evaluating the impact of simultaneous multithreading on network servers using real hardware. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simultaneous multithreading(SMT), network server
89Chen-Yong Cher, Il Park 0001, T. N. Vijaykumar Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
89Yinlei Yu, Sharad Malik Lemma Learning in SMT on Linear Constraints. Search on Bibsonomy SAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
89Clark W. Barrett, Leonardo Mendonça de Moura, Aaron Stump Design and results of the 2nd annual satisfiability modulo theories competition (SMT-COMP 2006). Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Competition, Decision procedures, Automated theorem proving, SMT, Satisfiability modulo theories
86Robert Nieuwenhuis, Albert Oliveras On SAT Modulo Theories and Optimization Problems. Search on Bibsonomy SAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
80Deepa Kannan, Aseem Gupta, Aviral Shrivastava, Nikil D. Dutt, Fadi J. Kurdahi PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
80Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
80Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy efficiency, simultaneous multithreading, chip multiprocessing
80Steven E. Raasch, Steven K. Reinhardt The Impact of Resource Partitioning on SMT Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
80James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
79Stijn Eyerman, Lieven Eeckhout Per-thread cycle accounting in SMT processors. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cycle accounting, simultaneous multithreading (smt), thread-progress aware fetch policy
77Wangyuan Zhang, Xin Fu, Tao Li 0006, José A. B. Fortes An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-aware reliability optimization, microarchitecture vulnerability, simultaneous multithreaded architecture, semiconductor transient fault, microprocessor reliability, processor throughput, soft error vulnerability analysis, SPEC CPU 2000 benchmark, microarchitecture structure, microarchitecture reliability profile, fetch policy, thread-level parallelism, multithreading architecture
77Declan Groves, Andy Way Hybrid data-driven models of machine translation. Search on Bibsonomy Mach. Transl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Chunk coverage, Europarl corpus, Convergence, Hybrid, Statistical language models, Example-based MT, Statistical MT
77Joshua Redstone, Susan J. Eggers, Henry M. Levy An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
70Yaoping Ruan, Vivek S. Pai, Erich M. Nahum, John M. Tracey Do commodity SMT processors need more OS research? Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
70T. N. Vijaykumar, Zeshan Chishti Wire Delay is Not a Problem for SMT (In the Near Future). Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
70Liqiang He, Zhiyong Liu An Effective Cache Overlapping Storage Structure for SMT Processors. Search on Bibsonomy ACIS-ICIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache compress, overlap storage, SMT
70Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, Eric Debes The energy efficiency of CMP vs. SMT for multimedia workloads. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, energy efficiency, CMP, SMT
70Ayose Falcón, Oliverio J. Santana, Alex Ramírez, Mateo Valero Tolerating Branch Predictor Latency on SMT. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF branch predictor delay, decoupled fetch, predictorpipelining, SMT
69Seungryul Choi, Donald Yeung Hill-climbing SMT processor resource distribution. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hill-climbing algorithm, SMT processor, limit study
67Ruiqiang Zhang, Keiji Yasuda, Eiichiro Sumita Chinese word segmentation and statistical machine translation. Search on Bibsonomy ACM Trans. Speech Lang. Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF linear integration, statistical machine translation, translation model, Chinese word segmentation
67Alessandro Cimatti, Alberto Griggio, Roberto Sebastiani A Simple and Flexible Way of Computing Small Unsatisfiable Cores in SAT Modulo Theories. Search on Bibsonomy SAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Jianhua Feng, Qian Qian, Yuguo Liao, Lizhu Zhou Counting Graph Matches with Adaptive Statistics Collection. Search on Bibsonomy WAIM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
67Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
67Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference
67Michael D. Powell, T. N. Vijaykumar Resource area dilation to reduce power density in throughput servers. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF throughput servers, SMT, power density
61Lucas C. Cordeiro SMT-based bounded model checking for multi-threaded software in embedded systems. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SAT modulo theories, formal software verification, multicore systems, computer-aided verification
61Hui Wang, Rama Sangireddy, Sandeep Baldawa Optimizing Instruction Scheduling through Combined In-Order and O-O-O Execution in SMT Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
61Samantika Subramaniam, Milos Prvulovic, Gabriel H. Loh PEEP: Exploiting predictability of memory dependences in SMT processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Malay K. Ganai Efficient Decision Procedure for Bounded Integer Non-linear Operations Using SMT(). Search on Bibsonomy Haifa Verification Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Matthew Curtis-Maury, Tanping Wang Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications. Search on Bibsonomy QEST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
61Yuxing Tang, Kun Deng, Xingming Zhou The Design Space of CMP vs. SMT for High Performance Embedded Processor. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
61Shi-Wu Lo, Kam-yiu Lam, Tei-Wei Kuo Real-Time Task Scheduling for SMT Systems. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
60Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Architectural support for real-time task scheduling in SMT processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, real time, multithreading, performance predictability, ILP, thread-level parallelism, SMT
60Minxuan Zhang, Caixia Sun Enhancing DCache Warn Fetch Policy for SMT Processors. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF L2 cache miss, I-fetch Policy, Fetch Priority, Resource Allocation, SMT
60Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable performance in SMT processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real time, operating systems, multithreading, performance predictability, ILP, thread-level parallelism, SMT
59Stijn Eyerman, Lieven Eeckhout Probabilistic job symbiosis modeling for SMT processor scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simultaneous multi-threading (smt), symbiotic job scheduling, performance modeling
59K. Rustan M. Leino, Rosemary Monahan Reasoning about comprehensions with first-order SMT solvers. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Spec#, matching triggers, quantifiers, SMT solvers
59Ronaldo Gonçalves, Philippe Olivier Alexandre Navaux Improving SMT Performance Scheduling Processes. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SMT architecture, performance evaluation, process scheduling
58Ilkka Niemelä Integrating Answer Set Programming and Satisfiability Modulo Theories. Search on Bibsonomy LPNMR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
58Panagiotis Papadimitratos, Zygmunt J. Haas Secure data transmission in mobile ad hoc networks. Search on Bibsonomy Workshop on Wireless Security The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MANET security, secure message transmission, secure routing, multi-path routing, secure routing protocol
58Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
58Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen Tuning Compiler Optimizations for Simultaneous Multithreading. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size
57Christopher Quirk, Arul Menezes Dependency treelet translation: the convergence of statistical and example-based machine-translation? Search on Bibsonomy Mach. Transl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EBMT, Dependency analysis, Syntax, SMT, Statistical machine translation, Example-based machine translation
57Claude Limousin, Julien Sébot, Alexis Vartanian, Nathalie Drach-Temam Improving 3D geometry transformations on a simultaneous multithreaded SIMD processor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SIMD extensions, cache memories, parallel rendering, data prefetching, SMT, applications specific architectures
56Stijn Eyerman, Lieven Eeckhout Memory-level parallelism aware fetch policies for simultaneous multithreading processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fetch Policy, Simultaneous Multithreading (SMT), Memory-Level Parallelism (MLP)
51Josefa Díaz, José Ignacio Hidalgo, Francisco Fernández 0001, Oscar Garnica, Sonia López Improving SMT performance: an application of genetic algorithms to configure resizable caches. Search on Bibsonomy GECCO (Companion) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable caches, genetic algorithms, optimization, caches memories, simultaneous multithreading, gals, adaptive caches
51Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
51Stijn Eyerman, Lieven Eeckhout A Memory-Level Parallelism Aware Fetch Policy for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Zvonimir Rakamaric, Roberto Bruttomesso, Alan J. Hu, Alessandro Cimatti Verifying Heap-Manipulating Programs in an SMT Framework. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the Capacity of a Modern SMT Architecture to Deliver High Scientific Application Performance. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Bernhard Fechner A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Liqiang He, Zhiyong Liu Improving Accuracy of Perceptron Predictor Through Correlating Data Values in SMT Processors. Search on Bibsonomy ISNN (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Caixia Sun, Hong-Wei Tang, Minxuan Zhang A Fetch Policy Maximizing Throughput and Fairness for Two-Context SMT Processors. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Alex Settle, Joshua L. Kihm, Andrew Janiszewski, Daniel A. Connors Architectural Support for Enhanced SMT Job Scheduling. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Gautham K. Dorai, Donald Yeung Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Yen-Kuang Chen, Rainer Lienhart, Eric Debes, Matthew J. Holliman, Minerva M. Yeung The Impact of SMT/SMP Designs on Multimedia Software Engineering - A Workload Analysis Study. Search on Bibsonomy ISMSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Haitham Akkary, Sébastien Hily The Case for Speculative Multithreading on SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Mladen Berekovic, Tim Niggemeier A Distributed, Simultaneously Multi-Threaded (SMT) Processor with Clustered Scheduling Windows for Scalable DSP Performance. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, distributed computing, DSP, MPEG-4, multi-threading, processor architecture, SMT
51Liang Xu SMT-Based Bounded Model Checking for Real-Time Systems (Short Paper). Search on Bibsonomy QSIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time systems, SMT, BMC
51Linzhi Ning, Wenbin Yao, Jun Ni, Nianmin Yao Fault-Tolerance CMP Architecture based on SMT Technology. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, CMP, thread, SMT
51Smt. D. Sony, G. Ajitha, Taaha Evaluating Phase Error of IRNSS using Narrow Correlator. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
50Shuvendu K. Lahiri, Shaz Qadeer Back to the future: revisiting precise program verification using SMT solvers. Search on Bibsonomy POPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heap-manipulating programs, software verification, decision procedures, reachability, linked lists, SMT solvers
49Hanna Lachnitt, Mathias Fleury, Leni Aniva, Andrew Reynolds 0001, Haniel Barbosa, Andres Nötzli, Clark W. Barrett, Cesare Tinelli Automatic Verification of SMT Rewrites in Isabelle/HOL. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
49Jan Jakubuv, Mikolás Janota, Bartosz Piotrowski, Jelle Piepenbrock, Andrew Reynolds 0001 Selecting Quantifiers for Instantiation in SMT. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
49Michael Whalen Invited Talk: SAT and SMT Solving at Cloud Scale. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
49Romain Béguet, Raphaël Amiard Application of SMT in a Meta-Compiler: A Logic DSL for Specifying Type Systems. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
49Makai Mann, Amalee Wilson, Cesare Tinelli, Clark W. Barrett Smt-Switch: A Solver-agnostic C++ API for SMT Solving. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
49Daniel J. Fremont, Sanjit A. Seshia Speeding Up SMT-Based Quantitative Program Analysis. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
49To Van Khanh, Xuan-Tung Vu, Mizuhito Ogawa raSAT: SMT for Polynomial Inequality. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
49Tim King 0001, Clark W. Barrett, Cesare Tinelli Leveraging Linear and Mixed Integer Programming for SMT. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
49Richard Bonichon, David Déharbe, Cláudia Tavares Extending SMT-LIB v2 with λ-Terms and Polymorphism. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
49Clark W. Barrett SMT: Where do we go from here? Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
48Eryk Kopczynski, Szymon Torunczyk LOIS: an Application of SMT Solvers. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
48Tjark Weber Scrambling and Descrambling SMT-LIB Benchmarks. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
48Nikolaj S. Bjørner, Vijay Ganesh, Raphaël Michel, Margus Veanes SMT-LIB Sequences and Regular Expressions. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48Amit Goel, Sava Krstic, Rebekah Leslie, Mark R. Tuttle SMT-Based System Verification with DVF. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48Mohammad Abdul Aziz, Amr G. Wassal, Nevin M. Darwish A Machine Learning Technique for Hardness Estimation of QFBV SMT Problems. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48Sylvain Conchon, Guillaume Melquiond, Cody Roux, Mohamed Iguernelala Built-in Treatment of an Axiomatic Floating-Point Theory for SMT Solvers. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48Raphaël Michel, Arnaud Hubaux, Vijay Ganesh, Patrick Heymans An SMT-based approach to automated configuration. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48David R. Cok, Alberto Griggio, Roberto Bruttomesso, Morgan Deters The 2012 SMT Competition. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48Natarajan Shankar The Architecture of Inference from SMT to ETB. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
48Jeremy Bongio, Cyrus Katrak, Hai Lin 0005, Christopher Lynch, Ralph Eric McGregor Encoding First Order Proofs in SMT. Search on Bibsonomy SMT@CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
48Yanjun Ma, Andy Way Bilingually Motivated Word Segmentation for Statistical Machine Translation. Search on Bibsonomy ACM Trans. Asian Lang. Inf. Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bilingually motivated, phrase-based statistical machine translation, Alignment, word segmentation
48David Monniaux On Using Floating-Point Computations to Help an Exact Linear Arithmetic Decision Procedure. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Lucia Specia, Baskaran Sankaran, Maria das Graças Volpe Nunes n-Best Reranking for the Efficient Integration of Word Sense Disambiguation and Statistical Machine Translation. Search on Bibsonomy CICLing The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Yik-Cheung Tam, Ian R. Lane, Tanja Schultz Bilingual LSA-based adaptation for statistical machine translation. Search on Bibsonomy Mach. Transl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Bilingual latent semantic analysis, Latent Dirichlet-tree allocation, Cross-lingual language model adaptation, Lexicon adaptation, Topic distribution transfer, Statistical machine translation
48Neal A. Harman Algebraic Models of Simultaneous Multithreaded and Multi-core Processors. Search on Bibsonomy CALCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF many-sorted algebra, verification, microprocessors, correctness, threaded
48Eric Tune, Rakesh Kumar 0002, Dean M. Tullsen, Brad Calder Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Kemathat Vibhatavanij, Nian-Feng Tzeng, Angkul Kongmunvattana Simultaneous Multithreading-Based Routers. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2209 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license