The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SPEC95 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-1998 (23) 1999 (24) 2000-2001 (22) 2002-2003 (17) 2004-2006 (16) 2007-2008 (2)
Publication types (Num. hits)
article(22) inproceedings(82)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 83 occurrences of 72 keywords

Results
Found 104 publication records. Showing 104 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Matthew A. Postiff, David A. Greene, Gary S. Tyson, Trevor N. Mudge The limits of instruction level parallelism in SPEC95 applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Mark J. Charney, Thomas R. Puzak Prefetching and memory system behavior of the SPEC95 benchmark suite. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
42Dennis C. Lee, Patrick Crowley, Jean-Loup Baer, Thomas E. Anderson, Brian N. Bershad Execution Characteristics of Desktop Applications on Windows NT. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Kenneth M. Wilson, Kunle Olukotun High Bandwidth On-Chip Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Dynamic superscalar, banked cache, dual-ported cache, SPEC95, memory bandwidth
28Yonggang Che, Zhenghua Wang A Lightweight Iterative Compilation Approach for Optimization Parameter Selection. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Mauro Olivieri Design of synchronous and asynchronous variable-latency pipelined multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Nigel P. Topham, Antonio González 0001 Randomized Cache Placement for Eliminating Conflicts. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Conflict avoidance, performance evaluation, cache architectures
28Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra Dynamic Branch Decoupled Architecture. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF dynamic, decoupling, branches
28Olivier Temam Investigating Optimal Local Memory Performance. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28David W. Goodwin Interprocedural Dataflow Analysis in an Executable Optimizer. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Thomas Ball, James R. Larus Efficient Path Profiling. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Lili Pan 0002, Beiji Zou, Hao Chen 0051, Haoyu Zhou Research on Translucent Mechanism-Based Infeasible Path. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ismail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam Reducing Data TLB Power via Compiler-Directed Address Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jaeheon Jeong, Per Stenström, Michel Dubois 0001 Simple penalty-sensitive replacement policies for caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, memory system, replacement policy, penalty
14Emil Talpes, Diana Marculescu Execution cache-based microarchitecture for power-efficient superscalar processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Thi Viet Nga Nguyen, François Irigoin Efficient and effective array bound checking. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interprocedural analysis, Array bound checking
14Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Kiruthika Selvamani, Tarek M. Taha Estimating critical region parallelism to guide platform retargeting. Search on Bibsonomy ACM Southeast Regional Conference (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analytical model, performance prediction
14Seung Woo Son 0001, Guangyu Chen, Mahmut T. Kandemir Disk layout optimization for reducing energy consumption. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, optimizing compiler, disk layout
14Karthik Pattabiraman, Zbigniew Kalbarczyk, Ravishankar K. Iyer Application-Based Metrics for Strategic Placement of Detectors. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Qing Zhao, David J. Lilja Static Classification of Value Predictability Using Compiler Hints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Allan Hartstein, Thomas R. Puzak The optimum pipeline depth considering both power and performance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pipeline Depth, Power and Performance, Workload Specificity, Simulation
14Jun Yang 0002, Rajiv Gupta 0001, Chuanjun Zhang Frequent value encoding for low power data buses. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF I/O pin capacitance, Low power data buses, internal capacitance, encoding, switching
14Rahul Joshi, Michael D. Bond, Craig B. Zilles Targeted Path Profiling: Lower Overhead Path Profiling for Staged Dynamic Optimization Systems. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ismail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam Compiler-directed physical address generation for reducing dTLB power. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Georgios Dimitriou, Constantine D. Polychronopoulos Loop Scheduling for Multithreaded Processors. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Martin Kämpe, Per Stenström, Michel Dubois 0001 Self-correcting LRU replacement policies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LRU algorithms, mistake prediction, shadow directories
14Michel Dubois 0001 Fighting the memory wall with assisted execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance
14Lu Peng 0001, Jih-Kwon Peir, Qianrong Ma, Konrad Lai Address-free memory access based on program syntax correlation of loads and stores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Ramaswamy Govindarajan, Hongbo Yang, José Nelson Amaral, Chihong Zhang, Guang R. Gao Minimum Register Instruction Sequencing to Reduce Register Spills in Out-of-Order Issue Superscalar Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code sequence optimization, code generation, Compiler optimization, instruction level parallelism, register allocation, instruction scheduling, superscalar architectures
14Anthony-Trung Nguyen, Josep Torrellas Design Trade-Offs in High-Throughput Coherence Controllers. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Allan Hartstein, Thomas R. Puzak Optimum Power/Performance Pipeline Depth. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Lei Chen 0021, Steve Dropsho, David H. Albonesi Dynamic Data Dependence Tracking and its Application to Branch Prediction. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Thi Viet Nga Nguyen, François Irigoin, Corinne Ancourt, Fabien Coelho Automatic Detection of Uninitialized Variables. Search on Bibsonomy CC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Jianwei Chen, Michel Dubois 0001, Per Stenström Integrating complete-system and user-level performance/power simulators: the SimWattch approach. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Mondira Deb Pant, Pankaj Pant, D. Scott Wills On-chip decoupling capacitor optimization using architectural level prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Jun Yang 0002, Rajiv Gupta 0001 Energy efficient frequent value data cache design. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Martin Kämpe, Per Stenström, Michel Dubois 0001 The FAB Predictor: Using Fourier Analysis to Predict the Outcome of Conditional Branches. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Discrete Fourier Transform, Branch Prediction, Dynamically Scheduled Processors
14Linda M. Wills, Tarek M. Taha, Lewis Benton Baumstark Jr., D. Scott Wills Estimating Potential Parallelism for Platform Retargeting. Search on Bibsonomy WCRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Chi-Hung Chi, Jun-Li Yuan Runtime Association of Software Prefetch Control to Memory Access Instructions (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Nikil D. Dutt, Daniel S. Hirschberg, Mahesh Mamidipaka Efficient Power Reduction Techniques for Time Multiplexed Address Buses. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address encoding techniques, time-multiplexed addressing, low power
14Weiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Amirali Baniasadi, Andreas Moshovos Asymmetric-frequency clustering: a power-aware back-end for high-performance processors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF assymetric frequency clustering, high-performance processors, instruction criticality, processor back-end, power-aware architectures
14T. N. Vijaykumar, Irith Pomeranz, Karl Cheng Transient-Fault Recovery Using Simultaneous Multithreading. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Allan Hartstein, Thomas R. Puzak The Optimum Pipeline Depth for a Microprocessor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Sangyeun Cho, Pen-Chung Yew, Gyungho Lee A High-Bandwidth Memory Pipeline for Wide Issue Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Data bandwidth, runtime stack, data stream partitioning, multiported data cache, instruction level parallelism, data locality
14Markus Mock, Manuvir Das, Craig Chambers, Susan J. Eggers Dynamic points-to sets: a comparison with static analyses and potential applications in program understanding and optimization. Search on Bibsonomy PASTE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF calpa, dynamic analysis, program understanding, program optimization, points-to analysis, alias analysis, program instrumentation
14Joydeep Ray, James C. Hoe, Babak Falsafi Dual use of superscalar datapath for transient-fault detection and recovery. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Krishnan Kailas, Kemal Ebcioglu, Ashok K. Agrawala CARS: A New Code Generation Framework for Clustered ILP Processors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Peter van Beek, Kent D. Wilken Fast Optimal Instruction Scheduling for Single-Issue Processors with Arbitrary Latencies. Search on Bibsonomy CP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Zhenyu Tang, Lei He 0001, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa Instruction Prediction for Step Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Renato J. O. Figueiredo, José A. B. Fortes Hardware Support for Extracting Coarse-Grain Speculative Parallelism in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Humayun Khalid Validating Trace-Driven Microarchitectural Simulations. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos Using dynamic cache management techniques to reduce energy in general purpose processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Zhenyu Tang, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa, Lei He 0001 Ramp Up/Down Functional Unit to Reduce Step Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Darko Stefanovic, Margaret Martonosi On Availability of Bit-Narrow Operations in General-Purpose Applications. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Thierry Lafage, André Seznec Combining Light Static Code Annotation and Instruction-Set Emulation for Flexible and Efficient On-the-Fly Simulation (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Kevin D. Rich, Matthew K. Farrens The Decoupled-Style Prefetch Architecture (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Manuvir Das Unification-based pointer analysis with directional assignments. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Steven Lucco Split-stream dictionary program compression. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF virtual machine, compression, runtime system
14Kent D. Wilken, Jack Liu, Mark Heffernan Optimal instruction scheduling using integer programming. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Tao Li 0006, Lizy Kurian John, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Jyotsna Sabarinathan, Anupama Murthy Using complete system simulation to characterize SPECjvm98 benchmarks. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Java
14Mondira Deb Pant, Pankaj Pant, D. Scott Wills, Vivek Tiwari Inductive Noise Reduction at the Architectural Level. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SIMD, superscalar, Clock-gating, Ground Bounce
14Steven K. Reinhardt, Shubhendu S. Mukherjee Transient fault detection via simultaneous multithreading. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Karthik Sundaramoorthy, Zachary Purser, Eric Rotenberg Slipstream Processors: Improving both Performance and Fault Tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Eric Rotenberg, Steve Bennett, James E. Smith 0001 A Trace Cache Microarchitecture and Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching
14Jih-Kwon Peir, Windsor W. Hsu, Alan Jay Smith Functional Implementation Techniques for CPU Cache Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache access mechanism, cache area and bandwidth, Cache memory, address translation
14Olivier Temam An Algorithm for Optimally Exploiting Spatial and Temporal Locality in Upper Memory Levels. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Optimal memory management, Belady, cache, local memory
14Soner Önder, Jun Xu, Rajiv Gupta 0001 Caching and Predicting Branch Sequences for Improved Fetch Effectiveness. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch sequence prediction, sequence table, fetch bandwidth, speculative execution
14Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
14Sangyeun Cho, Pen-Chung Yew, Gyungho Lee Access Region Locality for High-Bandwidth Processor Memory System Design. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Timothy H. Heil, Zak Smith, James E. Smith 0001 Improving Branch Predictors by Correlating on Data Values. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Eric Rotenberg, James E. Smith 0001 Control Independence in Trace Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14B. Grayson, L. John, C. Chase The effects of memory-access ordering on multiple-issue uniprocessor performance. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Alan Pita, Nadeem Malik Sectored renaming for superscalar microprocessors. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Alvin R. Lebeck, David R. Raymond, Chia-Lin Yang, Mithuna Thottethodi Annotated Memory References: A Mechanism for Informed Cache Management. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Thierry Lafage, André Seznec, Erven Rohou, François Bodin Code Cloning Tracing: A "Pay per Trace" Approach. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Chi-Hung Chi, Jun-Li Yuan Design Considerations of High Performance Data Cache with Prefetching. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14David L. Rhodes, Wayne H. Wolf Unbalanced Cache Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Chi-Hung Chi, Jun-Li Yuan Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Rastislav Bodík, Rajiv Gupta 0001, Mary Lou Soffa Load-Reuse Analysis: Design and Evaluation. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF data-flow analysis, program representations, profile-guided optimizations, register promotion
14Le-Chun Wu, Rajiv Mirani, Harish Patil, Bruce Olsen, Wen-mei W. Hwu A New Framework for Debugging Globally Optimized Code. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos, George D. Stamoulis Energy and Performance Improvements in Microprocessor Design Using a Loop Cache. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Chi-Hung Chi, Jun-Li Yuan Load-Balancing Branch Target Cache and Prefetch Buffer. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch target cache, load-balancing, Memory, prefetching, instruction cache
14Sangyeun Cho, Pen-Chung Yew, Gyungho Lee Decoupling Local Variable Accesses in a Wide-Issue Superscalar Processor. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Matthew C. Merten, Andrew R. Trick, Christopher N. George, John C. Gyllenhaal, Wen-mei W. Hwu A Hardware-Driven Profiling Scheme for Identifying Program Hot Spots to Support Runtime Optimization. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Jozo J. Dujmovic, Ivo Dujmovic Evolution and evaluation of SPEC benchmarks. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Steven Wallace, Nader Bagherzadeh Modeled and Measured Instruction Fetching Performance for Superscalar Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF performance analysis, Computer architecture, instruction fetching, branch target buffer, superscalar microprocessor
14Glenn Ammons, James R. Larus Improving Data-flow Analysis with Path Profiles. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Gabriel Rivera, Chau-Wen Tseng Data Transformations for Eliminating Conflict Misses. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Glenn Ammons, James R. Larus Improving data-flow analysis with path profiles (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Nikolaos Bellas, Ibrahim N. Hajj, George D. Stamoulis, Constantine D. Polychronopoulos Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Cristina Cifuentes, Doug Simon, Antoine Fraboulet Assembly to High-Level Language Translation. Search on Bibsonomy ICSM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Yiannakis Sazeides, James E. Smith 0001 Modeling Program Predictability. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Jih-Kwon Peir, Yongjoon Lee, Windsor W. Hsu Capturing Dynamic Memory Reference Behavior with Adaptive Cache Topology. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Yiannakis Sazeides, James E. Smith 0001 The Predictability of Data Values. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Context Based Prediction, Stride Prediction, Last Value Prediction, Prediction, Value Prediction
14Steven Wallace, Nader Bagherzadeh Multiple Branch and Block Prediction. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Jens Simon, Marco Vieth, Reinhold Weicker Workload Analysis of Computation Intensive Tasks: Case Study on SPEC CPU95 Benchmarks. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14José González 0002, Antonio González 0001 Memory Address Prediction for Data Speculation. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Tim A. Wagner, Susan L. Graham Incremental Analysis of real Programming Languages. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 104 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license