|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 811 occurrences of 404 keywords
|
|
|
Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
123 | Yiannakis Sazeides |
Modeling Value Speculation. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
microarchitecture modeling, speculation, value prediction, value speculation |
113 | Craig B. Zilles, Naveen Neelakantam |
Reactive Techniques for Controlling Software Speculation. |
CGO |
2005 |
DBLP DOI BibTeX RDF |
|
112 | Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy |
An evaluation of speculative instruction execution on simultaneous multithreaded processors. |
ACM Trans. Comput. Syst. |
2003 |
DBLP DOI BibTeX RDF |
multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading |
112 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative analysis and optimizations. |
PLDI |
2003 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion |
93 | Roy Dz-Ching Ju, Kevin Nomura, Uma Mahadevan, Le-Chun Wu |
A Unified Compiler Framework for Control and Data Speculation. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
92 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative optimizations. |
ACM Trans. Archit. Code Optim. |
2004 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion |
84 | Youfeng Wu, Li-Ling Chen, Roy Ju, Jesse Fang |
Performance potentials of compiler-directed data speculation. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
79 | Chao-ying Fu, Jill T. Bodine, Thomas M. Conte |
Modeling Value Speculation: An Optimal Edge Selection Problem. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
optimal edge selection, critical path reduction, Value prediction, data dependence graph, value speculation |
79 | Mikio Takeuchi, Hideaki Komatsu, Toshio Nakatani |
A new speculation technique to optimize floating-point performance while preserving bit-by-bit reproducibility. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
bit-by-bit reproducibility, floating-point speculation, fused multiply-add, reassociation, striding, Java, prefetching, accuracy, instruction-level parallelism, software pipelining, just-in-time compiler, loop unrolling, privatization, IA-64, IEEE 754 |
75 | Roger A. Bringmann, Scott A. Mahlke, Wen-mei W. Hwu |
A study of the effects of compiler-controlled speculation on instruction and data caches. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
compiler-controlled speculation, nonnumeric programs, speculatively scheduled code, aggressive speculation models, scheduling, performance evaluation, parallel programming, time, instruction level parallelism, program compilers, data caches, cache storage, instruction cache, cache misses, performance results |
74 | Seongbae Park, SangMin Shim, Soo-Mook Moon |
Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques |
74 | Yunlian Jiang, Xipeng Shen |
Adaptive speculation in behavior-oriented parallelization. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
74 | Yunlian Jiang, Xipeng Shen |
Adaptive Software Speculation for Enhancing the Cost-Efficiency of Behavior-Oriented Parallelization. |
ICPP |
2008 |
DBLP DOI BibTeX RDF |
|
74 | Dirk Grunwald, Artur Klauser, Srilatha Manne, Andrew R. Pleszkun |
Confidence Estimation for Speculation Control. |
ISCA |
1998 |
DBLP DOI BibTeX RDF |
|
74 | Andreas Moshovos, Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi |
Dynamic Speculation and Synchronization of Data Dependences. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
|
73 | Prakash Prabhu, Ganesan Ramalingam, Kapil Vaswani |
Safe programmable speculative parallelism. |
PLDI |
2010 |
DBLP DOI BibTeX RDF |
purity, rollback freedom, safety, speculative parallelism, value speculation |
70 | Marc Galceran Oms, Jordi Cortadella, Michael Kishinevsky |
Speculation in elastic systems. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
elastic designs, protocols, synthesis, speculation |
70 | Patrick Akl, Andreas Moshovos |
BranchTap: improving performance with very few checkpoints through adaptive speculation control. |
ICS |
2006 |
DBLP DOI BibTeX RDF |
speculation control, state checkpointing, state recovery, branch misprediction |
68 | Weidong Shi, Hsien-Hsin S. Lee |
Accelerating memory decryption and authentication with frequent value prediction. |
Conf. Computing Frontiers |
2007 |
DBLP DOI BibTeX RDF |
message authentication, value prediction, secure processors |
68 | Arun Kejariwal, Xinmin Tian, Wei Li 0015, Milind Girkar, Sergey Kozhukhov, Hideki Saito 0001, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos |
On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. |
ICS |
2006 |
DBLP DOI BibTeX RDF |
DOALL loops, value dependence, performance evaluation, data dependence, speculative execution, control dependence |
68 | Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren |
Speculative Execution and Branch Prediction on Parallel Machines. |
International Conference on Supercomputing |
1993 |
DBLP DOI BibTeX RDF |
|
64 | Arun Kejariwal, Xinmin Tian, Milind Girkar, Wei Li 0015, Sergey Kozhukhov, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos |
Tight analysis of the performance potential of thread speculation using spec CPU 2006. |
PPoPP |
2007 |
DBLP DOI BibTeX RDF |
conflict probability, misspeculation penalty, threading overhead, performance evaluation, speculative execution |
64 | Rajiv Gupta 0001, David A. Berson, Jesse Zhixi Fang |
Path Profile Guided Partial Redundancy Elimination Using Speculation. |
ICCL |
1998 |
DBLP DOI BibTeX RDF |
|
64 | Lance Hammond, Mark Willey, Kunle Olukotun |
Data Speculation Support for a Chip Multiprocessor. |
ASPLOS |
1998 |
DBLP DOI BibTeX RDF |
|
64 | Quinn Jacobson, Steve Bennett, Nikhil Sharma, James E. Smith 0001 |
Control Flow Speculation in Multiscalar Processors. |
HPCA |
1997 |
DBLP DOI BibTeX RDF |
|
64 | Brian L. Deitrich, Wen-mei W. Hwu |
Speculative Hedge: Regulating Compile-time Speculation Against Profile Variations. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
|
63 | Vijay Nagarajan, Rajiv Gupta 0001 |
ECMon: exposing cache events for monitoring. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
cache events, recording for replay, speculation past barriers |
60 | Thirumalaisamy Ragunathan, P. Krishna Reddy |
Performance evaluation of speculation-based protocol for read-only transactions. |
Bangalore Compute Conf. |
2010 |
DBLP DOI BibTeX RDF |
performance evaluation, concurrency control, speculation, transaction management |
60 | Soner Önder |
Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. |
IEEE PACT |
2002 |
DBLP DOI BibTeX RDF |
load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution |
60 | Toshinori Sato |
Data Dependence Speculation Using Data Address Prediction and its Enhancement with Instruction Reissue. |
EUROMICRO |
1998 |
DBLP DOI BibTeX RDF |
dynamic speculation of data dependence, instruction reissue, instruction level parallelism, out-of-order execution, address prediction |
58 | John Whaley, Christos Kozyrakis |
Heuristics for Profile-Driven Method-Level Speculative Parallelization. |
ICPP |
2005 |
DBLP DOI BibTeX RDF |
|
55 | Daniel Luchaup, Randy Smith, Cristian Estan, Somesh Jha |
Multi-byte Regular Expression Matching with Speculation. |
RAID |
2009 |
DBLP DOI BibTeX RDF |
parallel pattern matching, speculative pattern matching, multi-byte, multi-byte matching, regular expressions, low latency |
55 | Daniel R. Kelly, Braden J. Phillips |
Arithmetic Data Value Speculation. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
55 | Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 |
Using Speculation to Simplify Multiprocessor Design. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
55 | Li Li, Stephen F. Smith |
Speculation Agents for Dynamic Multi-Period Continuous Double Auctions in B2B Exchanges. |
HICSS |
2004 |
DBLP DOI BibTeX RDF |
|
55 | Uma Mahadevan, Kevin Nomura, Roy Dz-Ching Ju, Rick Hank |
Applying Data Speculation in Modulo Scheduled Loops. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
54 | Nana B. Sam, Martin Burtscher |
On the energy-efficiency of speculative hardware. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
energy-performance metric, energy-efficiency, speculation |
54 | Rajagopalan Desikan, Simha Sethumadhavan, Doug Burger, Stephen W. Keckler |
Scalable selective re-execution for EDGE architectures. |
ASPLOS |
2004 |
DBLP DOI BibTeX RDF |
EDGE architectures, load-store dependence prediction, mis-speculation recovery, selective re-execution, selective replay, speculative dataflow machines |
50 | Fredrik Warg, Per Stenström |
Dual-thread Speculation: A Simple Approach to Uncover Thread-level Parallelism on a Simultaneous Multithreaded Processor. |
Int. J. Parallel Program. |
2008 |
DBLP DOI BibTeX RDF |
Computer architecture, Chip multiprocessors, Thread-level speculation, Thread-level parallelism, Simultaneous multithreading |
50 | Lei Gao, Zhenghu Gong, Yaping Liu, Ming-che Lai, Wei Peng 0005 |
A TLP approach for BGP based on local speculation. |
Sci. China Ser. F Inf. Sci. |
2008 |
DBLP DOI BibTeX RDF |
local speculation, parallelism, multi-core, BGP |
50 | Braxton Thomason, Craig Chase |
Partially ordered epochs for thread-level speculation. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
partially-ordered threads, automatic parallelization, thread-level speculation |
50 | Ken Horie, Takashi Matsuhisa |
No Speculation under Expectations in Awareness. |
International Conference on Computational Science |
2004 |
DBLP DOI BibTeX RDF |
Pure exchange economy, No speculation, Expectations equilibrium, Ex-ante Pareto optimum, Awareness, Belief |
50 | J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry |
Improving Value Communication for Thread-Level Speculation. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
parallelization, multithreaded, Speculation, value prediction |
48 | Colin Blundell, Milo M. K. Martin, Thomas F. Wenisch |
InvisiFence: performance-transparent memory ordering in conventional multiprocessors. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
parallel programming, memory consistency |
48 | Butler W. Lampson |
Lazy and speculative execution in computer systems. |
ICFP |
2008 |
DBLP DOI BibTeX RDF |
lazy evaluation |
45 | Pedro Marcuello, Antonio González 0001 |
Clustered speculative multithreaded processors. |
International Conference on Supercomputing |
1999 |
DBLP DOI BibTeX RDF |
control-flow speculation, data value speculation, simultaneous multithreaded processors, dynamically scheduled processors, data dependance speculation, clustered processors |
45 | Pedro Marcuello, Antonio González 0001, Jordi Tubella |
Speculative Multithreaded Processors. |
International Conference on Supercomputing |
1998 |
DBLP DOI BibTeX RDF |
multithreaded processors, data speculation, dynamically scheduled processors, data dependence speculation, control speculation |
45 | Satish Pillai, Margarida F. Jacome |
Predicated switching - optimizing speculation on EPIC machines. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
45 | Takashi Yokota, Moriyuki Saito, Fumihito Furukawa, Kanemitsu Ootsu, Takanobu Baba |
Two-Path Limited Speculation Method for Static/Dynamic Optimization in Multithreaded Systems. |
PDCAT |
2005 |
DBLP DOI BibTeX RDF |
|
45 | Enric Morancho, José María Llabería, Àngel Olivé |
A Mechanism for Verifying Data Speculation. |
Euro-Par |
2004 |
DBLP DOI BibTeX RDF |
|
45 | Hideyuki Miura, Luong Dinh Hung, Chitaka Iwama, Daisuke Tashiro, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka |
Compiler-Assisted Thread Level Control Speculation. |
Euro-Par |
2003 |
DBLP DOI BibTeX RDF |
|
45 | Andreas Moshovos, Gurindar S. Sohi |
Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
Scheduling, Cache, Memory, Instruction Level Parallelism |
45 | Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado |
Power-Efficient Value Speculation for High-Performance Microprocessors. |
EUROMICRO |
2000 |
DBLP DOI BibTeX RDF |
|
44 | Haibo Chen 0001, Liwei Yuan, Xi Wu 0001, Binyu Zang, Bo Huang 0002, Pen-Chung Yew |
Control flow obfuscation with information flow tracking. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
control flow obfuscation, opaque predicate, information flow tracking, control speculation |
44 | Lukasz Ziarek, Suresh Jagannathan, Matthew Fluet, Umut A. Acar |
Speculative N-Way barriers. |
DAMP |
2009 |
DBLP DOI BibTeX RDF |
fork/join execution, n-way barriers, speculation, multi-threaded programs |
44 | Krishna M. Kavi, Wentong Li, Ali R. Hurson |
A Non-blocking Multithreaded Architecture with Support for Speculative Threads. |
ICA3PP |
2008 |
DBLP DOI BibTeX RDF |
Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture |
44 | Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai |
Recovery code generation for general speculative optimizations. |
ACM Trans. Archit. Code Optim. |
2006 |
DBLP DOI BibTeX RDF |
Recovery code, multi-level data speculation, speculative SSA form |
44 | Fredrik Warg, Per Stenström |
Reducing misspeculation overhead for module-level speculative execution. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation |
44 | Fredrik Warg, Per Stenström |
Improving Speculative Thread-Level Parallelism Through Module Run-Length Prediction. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
module-level parallelism, module run-length prediction, performance evaluation, Multiprocessors, thread-level speculation |
41 | John Sartori, Rakesh Kumar 0002 |
Overscaling-friendly timing speculation architectures. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
stochastic processors, timing speculation, adaptability |
41 | Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos |
On the efficacy of call graph-level thread-level speculation. |
WOSP/SIPEW |
2010 |
DBLP DOI BibTeX RDF |
performance, thread-level speculation |
41 | Polychronis Xekalakis, Nikolas Ioannou, Marcelo Cintra |
Combining thread level speculation helper threads and runahead execution. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
multi-cores, thread-level speculation, helper threads, runahead execution |
41 | Cosmin E. Oancea, Alan Mycroft, Tim Harris 0001 |
A lightweight in-place implementation for software thread-level speculation. |
SPAA |
2009 |
DBLP DOI BibTeX RDF |
roll-back, thread-level speculation (tls) |
41 | Thirumalaisamy Ragunathan, P. Krishna Reddy |
Improving the Performance of Read-Only Transactions Through Speculation. |
DNIS |
2007 |
DBLP DOI BibTeX RDF |
Transaction processing, Serializability, Speculation, Read-Only Transactions |
41 | Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles |
Hardware atomicity for reliable software speculation. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
Java, optimization, checkpoint, atomicity, speculation, isolation |
41 | Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas |
Energy-Efficient Thread-Level Speculation. |
IEEE Micro |
2006 |
DBLP DOI BibTeX RDF |
out-of-order task spawning, chip multiprocessors, Thread-level speculation |
41 | J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry |
The STAMPede approach to thread-level speculation. |
ACM Trans. Comput. Syst. |
2005 |
DBLP DOI BibTeX RDF |
cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing |
41 | Michael Kistler, Lorenzo Alvisi |
Improving the Performance of Software Distributed Shared Memory with Speculation. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
protocol design and analysis, Distributed shared memory, speculation |
41 | María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas |
Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. |
ACM Trans. Archit. Code Optim. |
2005 |
DBLP DOI BibTeX RDF |
Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol |
41 | Manohar K. Prabhu, Kunle Olukotun |
Using thread-level speculation to simplify manual parallelization. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
feedback-driven optimization, manual parallel programming, chip multiprocessor, multithreading, data speculation |
41 | P. Krishna Reddy, Masaru Kitsuregawa |
Speculation Based Nested Locking Protocol to Increase the Concurrency of Nested Transactions. |
IDEAS |
2000 |
DBLP DOI BibTeX RDF |
speculation based nested locking protocol, inter-transaction concurrency, nested locking protocol, SNL protocol, sub-transaction, after-image, multiple executions, main memory resources, concurrency control, speculative executions, nested transactions, data object, concurrency control protocol |
41 | Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte |
Value Speculation Scheduling for High Performance Processors. |
ASPLOS |
1998 |
DBLP DOI BibTeX RDF |
VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation |
41 | Yiannakis Sazeides, Stamatis Vassiliadis, James E. Smith 0001 |
The Performance Potential of Data Dependence Speculation & Collapsing. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
address generation-load dependences, address prediction rate, base instruction level parallel machine, dependence collapsing, performance potential, true data dependences, parallel programming, trace-driven simulation, data dependence speculation, address prediction |
40 | Gary S. Tyson, Todd M. Austin |
Improving the Accuracy and Performance of Memory Communication Through Renaming. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
data fetching, data value speculation, heap segment, instruction loading, memory access latency, memory communication, memory references, memory renaming, memory segments, processor pipeline, register access techniques, stores, performance, delays, accuracy, instruction-level parallelism, execution time, storage allocation, data dependence speculation, address calculation |
39 | Cristian Tapus, Jason Hickey |
Distributed speculative execution for reliability and fault tolerance: an operational semantics. |
Distributed Comput. |
2009 |
DBLP DOI BibTeX RDF |
Fault tolerance, Distributed systems, Transactions, Operational semantics, Speculations |
39 | Toshinori Sato, Yuu Tanaka, Hidenori Sato, Toshimasa Funaki, Takenori Koushiro, Akihiro Chiyonobu |
Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors. |
PATMOS |
2006 |
DBLP DOI BibTeX RDF |
|
39 | Xiao-Feng Li, Zhao-Hui Du, Chen Yang, Chu-Cheow Lim, Tin-Fook Ngai |
Speculative Parallel Threading Architecture and Compilation. |
ICPP Workshops |
2005 |
DBLP DOI BibTeX RDF |
|
39 | David N. Armstrong, Hyesoon Kim, Onur Mutlu, Yale N. Patt |
Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery. |
MICRO |
2004 |
DBLP DOI BibTeX RDF |
|
39 | Seng Chuan Tay, Yong Meng Teo |
Performance Optimization of Throttled Time-Warp Simulation. |
Annual Simulation Symposium |
2001 |
DBLP DOI BibTeX RDF |
performance modeling and optimization, probabilistic model, opportunity cost |
39 | Jonathan Vos Post, Kirk L. Kroeker |
Writing the Future: Computers in Science Fiction. |
Computer |
2000 |
DBLP DOI BibTeX RDF |
|
39 | Rajiv Gupta 0001, David A. Berson, Jesse Zhixi Fang |
Resource-Sensitive Profile-Directed Data Flow Analysis for Code Optimization. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
aggressive code motion, data flow algorithms, functional unit resources, instruction reordering, partial dead code elimination, resource-sensitive profile-directed data flow analysis, optimization, data flow analysis, instruction schedulers, code optimization, partial redundancy elimination, resource availability |
35 | Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt |
Performance-aware speculation control using wrong path usefulness prediction. |
HPCA |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Kirk Kelsey, Chengliang Zhang, Chen Ding 0001 |
Fast Track: Supporting Unsafe Optimizations with Software Speculation. |
PACT |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Justin D. Smith, Cristian Tapus, Jason Hickey |
The Mojave Compiler: Providing Language Primitives for Whole-Process Migration and Speculation for Distributed Applications. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Miao Wang, Rongcai Zhao, Guoming Cai |
Un-speculation in Modulo Scheduled Loops. |
IMSCCS |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Ming-Ying Chung, Gianfranco Ciardo |
A dynamic firing speculation to speedup distributed symbolic state-space generation. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Fredrik Warg, Per Stenström |
Dual-Thread Speculation: Two Threads in the Machine are Worth Eight in the Bush. |
SBAC-PAD |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Xianfeng Li, Tulika Mitra, Abhik Roychoudhury |
Modeling Control Speculation for Timing Analysis. |
Real Time Syst. |
2005 |
DBLP DOI BibTeX RDF |
micro-architectural modeling, worst case execution time, branch prediction, schedulability analysis, instruction cache |
35 | Rahul Nagpal, Anasua Bhowmik |
Criticality Driven Energy Aware Speculation for Speculative Multithreaded Processors. |
HiPC |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Rahul Nagpal, Anasua Bhowmik |
Criticality Based Speculation Control for Speculative Multithreaded Architectures. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Shengyue Wang, Xiaoru Dai, Kiran Yellajyosula, Antonia Zhai, Pen-Chung Yew |
Loop Selection for Thread-Level Speculation. |
LCPC |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Tali Moreshet, R. Iris Bahar |
Effects of speculation on performance and issue queue design. |
IEEE Trans. Very Large Scale Integr. Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Keqiang Wu, David J. Lilja |
Self-tuning Speculation for Maintaining the Consistency of Client-Cached Data. |
ICPADS |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Satish Pillai, Margarida F. Jacome |
Compiler-Directed ILP Extraction for Clustered VLIW/EPIC Machines: Predication, Speculation and Modulo Scheduling. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Ying Chen, Resit Sendag, David J. Lilja |
Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
superthreaded architecture, wrong-thread executioin, wrong-path execution, wrong execution cache (WEC), data prefetch, multithreaded processor |
35 | Stevan A. Vlaovic, Edward S. Davidson |
Boosting trace cache performance with nonhead miss speculation. |
ICS |
2002 |
DBLP DOI BibTeX RDF |
optimization, branch prediction, trace cache, x86 |
35 | Erik M. Nystrom, Ronald D. Barnes, Matthew C. Merten, Wen-mei W. Hwu |
Code Reordering and Speculation Support for Dynamic Optimization System. |
IEEE PACT |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Toshinori Sato |
Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure. |
Euro-Par |
1999 |
DBLP DOI BibTeX RDF |
|
35 | José González 0002, Antonio González 0001 |
Memory Address Prediction for Data Speculation. |
Euro-Par |
1997 |
DBLP DOI BibTeX RDF |
|
34 | Arun Raman, Hanjun Kim 0001, Thomas R. Mason, Thomas B. Jablin, David I. August |
Speculative parallelization using software multi-threaded transactions. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
multi-threaded transactions, automatic parallelization, software transactional memory, thread-level speculation, loop-level parallelism, pipelined parallelism |
Displaying result #1 - #100 of 1035 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|