The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synopsys with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1999 (22) 2000-2002 (16) 2003-2004 (21) 2005-2006 (30) 2007-2008 (25) 2009-2014 (16) 2016-2021 (8)
Publication types (Num. hits)
article(30) incollection(1) inproceedings(107)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 72 occurrences of 65 keywords

Results
Found 138 publication records. Showing 138 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
57 Power Management in Synopsys Galaxy Design Platform. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Nainesh Agarwal, Nikitas J. Dimopoulos Efficient Automated Clock Gating Using CoDeL. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Nam Ling, Rajesh Advani Architecture of a fast motion estimator for MPEG video coding. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fast motion estimator, MPEG video coding, 2-D log search, MPEG2 video, motion estimation, motion estimator, video coding, systolic arrays, motion vector, Verilog, Synopsys
41Nainesh Agarwal, Nikitas J. Dimopoulos DSPstone Benchmark of CoDeL's Automated Clock Gating Platform. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Pierre Bricaud VC Rating and Quality Metrics: Why Bother? Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Shinichi Nishizawa, Shih-Ting Lin, Yih-Lang Li, Hidetoshi Onodera Supplemental PDK for ASAP7 Using Synopsys Flow. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30Yongfu Li 0003, Wan Chia Ang, Chin Hui Lee, Kok Peng Chua, Yoong Seang Jonathan Ong, Chiu Wing Colin Hui Standard Cell Library Evaluation with Multiple lithography-compliant verification and Improved Synopsys Pin Access Checking Utility. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
30Yongfu Li 0003, Chin Hui Lee, Wan Chia Ang, Kok Peng Chua, Yoong Seang Jonathan Ong, Chiu Wing Colin Hui Constraining the Synopsys Pin Access Checker Utility for Improved Standard Cells Library Verification Flow. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
30I-Lun Tseng, Valerio Perez, Yongfu Li 0003, Zhao Chuan Lee, Vikas Tripathi, Yoong Seang Jonathan Ong Creation and Fixing of Lithography Hotspots with Synopsys Tools. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
30Enrico Ghillino, Emanuele Virgillito, Pablo V. Mena, Rob Scarmozzino, Remco Stoffer, Dwight H. Richards, Ali Ghiasi, Alessio Ferrari 0002, Mattia Cantono, Andrea Carena, Vittorio Curri The Synopsys Software Environment to Design and Simulate Photonic Integrated Circuits: A Case Study for 400G Transmission. Search on Bibsonomy ICTON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Yao-Ming Kuo, Leandro J. Arana, Luis Seva, Cristian Marchese, Leandro Tozzi Educational design kit for synopsys tools with a set of characterized standard cell library. Search on Bibsonomy LASCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Tim Kogel Synopsys Virtual Prototyping for Software Development and Early Architecture Analysis. Search on Bibsonomy Handbook of Hardware/Software Codesign The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Danila A. Gorodecky Multipliers: comparison of Fourier transformation based method and Synopsys design technique for up to 32 bits inputs in regular and saturation arithmetics. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
30Michael Rudolf 0001, Hannes Voigt, Christof Bornhövd, Wolfgang Lehner SynopSys: Foundations for Multidimensional Graph Analytics. Search on Bibsonomy BIRTE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Richard Goldman, Karen Bartleson, Troy Wood, Vazgen Melikyan, Eduard Babayan Synopsys' Educational Generic Memory Compiler. Search on Bibsonomy EWME The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Tri Caohuu, John Edwards Implementation of an Efficient Library for Asynchronous Circuit Design with Synopsys. Search on Bibsonomy ICSEng The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Nana Sutisna, Leonardo Lanante, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi Live demonstration: Hardware-software co-verification for very large scale SoC using synopsys HAPS platform. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30N. L. Lagunovich, V. M. Borzdov, Arkady Turtsevich Simulation features of diffusion doping process by means of software package of synopsys company. Search on Bibsonomy EWDTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Michael Rudolf 0001, Marcus Paradies, Christof Bornhövd, Wolfgang Lehner SynopSys: large graph analytics in the SAP HANA database through summarization. (PDF / PS) Search on Bibsonomy GRADES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Prakash Shanbhag, Chandramouli Gopalakrishnan, Saibal Ghosh A Case Study in Developing an Efficient Multi-threaded EDA Parser: Synopsys SDF Parser. Search on Bibsonomy ISVLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Jianchao Lu, Baris Taskin From RTL to GDSII: An ASIC design course development using Synopsys® University Program. Search on Bibsonomy MSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Richard Goldman, Karen Bartleson, Troy Wood, Kevin Kranen, C. Cao, Vazgen Melikyan, Gayane Markosyan Synopsys' open educational design kit: Capabilities, deployment and future. Search on Bibsonomy MSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Eli Lyons, Vish Ganti, Richard Goldman, Vazgen Melikyan, Hamid Mahmoodi Full-custom design project for digital VLSI and IC design courses using synopsys generic 90nm CMOS library. Search on Bibsonomy MSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Vishy Lakshmanan Automated Fixing of Complex/Process Critical DRC Violations in Place and Route Systems Using Calibre in the Synopsys/Milkyway Environment. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Peter Blinzer, Ulrich Golze, Ulrich Holtmann Entwurf von Controller-Schaltungen für Kommunikationsprotokolle mit dem Protocol-Compiler von Synopsys. Search on Bibsonomy MBMV The full citation details ... 1998 DBLP  BibTeX  RDF
30R. Picchiottino, G. Georgoulis, G. Sicouri, Annick Panaye, Jacques-Emile Dubois DARC-SYNOPSYS. Designing specific reaction data banks: application to KETO-REACT. Search on Bibsonomy J. Chem. Inf. Comput. Sci. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
27Jonathan Young Capturing and Analyzing IC Design Productivity Metrics. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh Achieving Design Closure Through Delay Relaxation Parameter. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Jovanka Ciric, Gin Yee, Carl Sechen Delay Minimization and Technology Mapping of Two-Level Structures and Implementation Using Clock-Delayed Domino Logic. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Olivier Coudert An efficient algorithm to verify generalized false paths. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF co-sensitization, generalized false path, timing exception, formal verification, correctness, SAT, sensitization, false path, SDC
14Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Coarse-grained reconfigurable arrays, High productivity tools, Modulo scheduling, Architectural exploration, Compiler techniques
14Rajdeep Mukhopadhyay, Subrat Kumar Panda, Pallab Dasgupta, John Gough Instrumenting AMS assertion verification on commercial platforms. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF OVL, SVA, integrated mixed signal design, verification library, simulation, Assertion
14Jinsil Kim, Wonyoung Chung, Junghee Lee, Yongsurk Lee An implementation of the CQS supporting multimedia traffic. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CQS, dequeue, enqueue, scheduler
14Mohammad-Hamed Razmkhah, Seyed Ghassem Miremadi, Alireza Ejlali A Micro-FT-UART for Safety-Critical SoC-Based Applications. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14M. A. Ochoa-Montiel, Bashir M. Al-Hashimi, Peter Kollig Design of a low power MPEG-1 motion vector reconstructor. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF behavioural synthesis, low power
14Shanq-Jang Ruan, Chi-Yu Wu, Jui-Yuan Hsieh Low Power Design of Precomputation-Based Content-Addressable Memory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Abusaleh M. Jabir, Dhiraj K. Pradhan, Jimson Mathew GfXpress: A Technique for Synthesis and Optimization of GF(2m) Polynomials. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir C-testable bit parallel multipliers over GF(2m). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, cryptography, built-in self-test, digital signal processing, polynomials, VLSI design, stuck-at fault, TPG, fault, multiplier, Galois field, error control code, C-testable
14Chun-Lung Hsu, Yu-Sheng Huang A Fast-Deblocking Boundary-strength Based Architecture Design of Deblocking Filter in H.264/AVC Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FDBS, H.264/AVC, PSNR, bit-rate, deblocking filter
14Frank Badstubner, Andreas Vörg Quantitative Productivity Measurement in IC Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jui-Yuan Hsieh, Shanq-Jang Ruan Synthesis and design of parameter extractors for low-power pre-computation-based content-addressable memory using gate-block selection algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Yi Zhu 0002, Jianhua Liu, Haikun Zhu, Chung-Kuan Cheng Timing-power optimization for mixed-radix Ling adders by integer linear programming. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Antun Domic Design or manufacturing: which will be best for the future of the semiconductor roadmap? Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Alain Pegatoquet, Filip Thoen, Denis Paterson Virtual Reality for 2.5 G Wireless Communication Modem Software Development. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF EGPRS, simulation, MPSoC, GSM, GPRS, Virtual platform
14Deepak Sreedharan, Ali Akoglu A hybrid processing element based reconfigurable architecture for hashing algorithms. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Azam Beg, P. W. Chandana Prasad, Walid Ibrahim, Emad Abu Shama Utilizing synthesis to verify Boolean function models. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Shen-Fu Hsiao, Ping-Chung Wei, Ching-Pin Lin An automatic hardware generator for special arithmetic functions using various ROM-based approximation approaches. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Somayyeh Koohi, Mohammad Mirza-Aghatabar, Shaahin Hessabi, Massoud Pedram High-Level Modeling Approach for Analyzing the Effects of Traffic Models on Power and Throughput in Mesh-Based NoCs. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jin-Oh Jeon, Su-Bong Ryu, Tae-Min Chang, Ho-Yong Choi, Min-Sup Kang Digital Codec Design for RFID Tag Based on Cryptographic Authentication Protocol. Search on Bibsonomy FGCN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Noureddine Chabini, Wayne H. Wolf Register binding guided by the size of variables. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Makoto Ishikawa, D. J. McCune, George Saikalis, Shigeru Oho CPU Model-Based Hardware/Software Co-design, Co-simulation and Analysis Technology for Real-Time Embedded Control Systems. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jimson Mathew, Hafizur Rahaman 0001, Dhiraj K. Pradhan Efficient Testable Bit Parallel Multipliers over GF(2^m) with Constant Test set. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jun Wang 0010, Kyeong-Yuk Min, Jong-Wha Chong A Hybrid Image Coding in Overdriving for Motion Blur Reduction in LCD. Search on Bibsonomy ICEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Overdriving, Block Truncation Coding, Adaptive Quantization Coding, Compression, LCD, Motion blur
14Tianmiao Wang, Kai Sun, Hongxing Wei, Meng Wang 0005, Zili Shao, Hui Liu 0006 Interconnection Synthesis of MPSoC Architecture for Gamma Cameras. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Seung-Man Pyen, Kyeong-Yuk Min, Jong-Wha Chong An Efficient VLSI Architecture for Full-Search Variable Block Size Motion Estimation in H.264/AVC. Search on Bibsonomy MMM (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VBSME, VLSI, motion estimation, H.264/AVC, block matching algorithm
14Ahmad Patooghy, Mahdi Fazeli, Seyed Ghassem Miremadi A Low-Power and SEU-Tolerant Switch Architecture for Network on Chips. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SEU-Tolerance, Power Consumption, NoC
14Nikhil Bansal 0003, Kanishka Lahiri, Anand Raghunathan Automatic Power Modeling of Infrastructure IP for System-on-Chip Power Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sandeep Jain, Jais Abraham, Srinivas Kumar Vooka, Sumant Kale, Amit Dutta, Rubin A. Parekhji Enhancements in Deterministic BIST Implementations for Improving Test of Complex SOCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Raghavendra Rao Loka Compilation reuse and hybrid compilation: an experiment. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14M. Capobianchi, V. Labay, F. Shi, G. Mizushima Simulating the Electrical Behavior of Integrated Circuit Devices in the Presence of Thermal Interactions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Kaijie Wu 0001, Ramesh Karri Algorithm-level recomputing with shifted operands-a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Salvatore Carta, Danilo Pani, Luigi Raffo Reconfigurable Coprocessor for Multimedia Application Domain. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multimedia, reconfigurable computing, digital signal processing, domain-specific architectures
14Sau-Gee Chen, Jen-Chuan Chih, Jun-Yi Chou Direct Digital Frequency Synthesis Based on a Two-Level Table-Lookup Scheme. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF direct digital frequency synthesizer, DDFS algorithm, two-level table lookup scheme
14Flavio M. de Paula, Alan J. Hu EverLost: A Flexible Platform for Industrial-Strength Abstraction-Guided Simulation. Search on Bibsonomy CAV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Sandro Penolazzi, Axel Jantsch A High Level Power Model for the Nostrum NoC. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Seung-Man Pyen, Kyeong-Yuk Min, Jong-Wha Chong, Satoshi Goto An Efficient Hardware Architecture for Full-Search Variable Block Size Motion Estimation in H.264/AVC. Search on Bibsonomy ISVC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Abusaleh M. Jabir, Dhiraj K. Pradhan, Jimson Mathew An efficient technique for synthesis and optimization of polynomials in GF(2m). Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Itai Yarom, Viji Patil Smart-Lint: Improving the Verification Flow. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Rong-Jian Chen, Yi-Te Lai, Jui-Lin Lai Architecture design and VLSI hardware implementation of image encryption/decryption system using re-configurable 2D Von Neumann cellular automata. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Sizhong Chen, Tong Zhang 0002, Manish Goel Relaxed tree search MIMO signal detection algorithm design and VLSI implementation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Nainesh Agarwal, Nikitas J. Dimopoulos Power efficient rapid hardware development using CoDel and automated clock gating. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Fei Sun, Tong Zhang 0002 Low power state-parallel relaxed adaptive Viterbi decoder design and implementation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Daniel Marienfeld, Egor S. Sogomonyan, Vitalij Ocheretnij, Michael Gössel A New Self-Checking and Code-Disjoint Non-Restoring Array Divider. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Lun Li, Mitchell A. Thornton, David W. Matula A digit serial algorithm for the integer power operation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power operation, standard cell implementation, exponential, discrete log
14Darshana Patel, Radu Muresan Triple-DES ASIC Module for a Power-Smart System-on-Chip Architecture. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Shyue-Kung Lu, Ting-Yu Chen, Wei-Yuan Liu Efficient Built-In Self-Test Schemes for Video Coding Cores: a Case Study on DCT/IDCT Circuits. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen Efficient Pass-Transistor-Logic Synthesis for Sequential Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh On effective slack management in postscheduling phase. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Muzhou Shao, Youxin Gao, Li-Pen Yuan, Martin D. F. Wong IR Drop and Ground Bounce Awareness Timing Model. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Wayne P. Burleson, Sheng Xu Digital Systems Design with ASIC and FPGA: A Novel Course Using CD/DVD and On-Line Formats. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14James E. Stine, Johannes Grad, Ivan D. Castellanos, Jeff M. Blank, Vibhuti B. Dave, Mallika Prakash, Nick Iliev, Nathan Jachimiec A Framework for High-Level Synthesis of System-on-Chip Designs. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Dae-Sung Ku, Jung-Hyun Yun, Jong-Bin Kim A Design on the Vector Processor of 2048point MDCT/IMDCT for MPEG-2 AAC. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Ravi Kumar Satzoda, Chip-Hong Chang VLSI Performance Evaluation and Analysis of Systolic and Semisystolic Finite Field Multipliers. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Rong-Jian Chen, Yi-Te Lai, Jui-Lin Lai Architecture design of the re-configurable 2-D von Neumann cellular automata for image encryption application. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Rui Tang, Fengming Zhang, Yong-Bin Kim QCA-based nano circuits design [adder design example]. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Shen-Fu Hsiao, Ming-Yu Tsai, Ming-Chih Chen, Chia-Sheng Wen An efficient pass-transistor-logic synthesizer using multiplexers and inverters only. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Saraju P. Mohanty, N. Ranganathan, Karthikeyan Balakrishnan Design of a Low Power Image Watermarking Encoder Using Dual Voltage and Frequency. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Kaijie Wu 0001, Ramesh Karri Fault secure datapath synthesis using hybrid time and hardware redundancy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Shuo Sheng, Michael S. Hsiao Success-Driven Learning in ATPG for Preimage Computation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Serdar Tasiran, Yuan Yu, Brannon Batson Linking Simulation with Formal Verification at a Higher Level. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Martin Zambaldi, Wolfgang Ecker, Renate Henftling, Matthias Bauer 0003 A Layered Adaptive Verification Platform for Simulation, Test, and Emulation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Bin Sheng, Wen Gao 0001, Di Wu 0022 An implemented architecture of deblocking filter for H.264/AVC. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Carl Pixley, D. Meyers, S. McMaster, A. Chittor Designers want proofs - but show me the money. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Egor S. Sogomonyan, Daniel Marienfeld, Vitalij Ocheretnij, Michael Gössel A New Self-Checking Sum-Bit Duplicated Carry-Select Adder. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Juan C. Diaz, Marta Saburit Clock Management in a Gigabit Ethernet Physical Layer Transceiver Circuit. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Donna Nakano, Erric Solomon Task oriented visual interface for debugging timing problems in hardware design. Search on Bibsonomy AVI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cognitive model of users, information visualization, visual interface design
14Shyue-Kung Lu, Chien-Hung Yeh, Han-Wen Lin Efficient Built-in Self-Test Techniques for Memory-Based FFT Processors. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Robert Dahlberg, Kurt Keutzer, R. Bingham, Aart J. de Geus, Walden C. Rhines EDA: this is serious business. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ravi Hosabettu, Ganesh Gopalakrishnan, Mandayam K. Srivas A Practical Methodology for Verifying Pipelined Microarchitectures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Hunsoo Choo, Khurram Muhammad, Kaushik Roy 0001 MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 138 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license