|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 19541 occurrences of 5230 keywords
|
|
|
Results
Found 53773 publication records. Showing 53773 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
62 | Grant Martin |
Verification by the pound. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
complex ICs, verification methodologies, hardware verification languages, formal verification, functional verification, dynamic verification |
57 | Pradip A. Thaker |
Holistic verification: myth or magic bullet? |
DAC |
2009 |
DBLP DOI BibTeX RDF |
SoC verification, mixed-signal verification, power management verification, emulation |
50 | David Cyrluk, Mandayam K. Srivas |
Theorem proving: not an esoteric diversion, but the unifying framework for industrial verification. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
industrial hardware verification, industrial verification, formal verification, logic testing, theorem proving, theorem prover, hardware verification |
49 | Subir K. Roy, S. Ramesh, Supratik Chakraborty, Tsuneo Nakata, Sreeranga P. Rajan |
Functional Verification of System on Chips-Practices, Issues and Challenges (Tutorial Abstract). |
ASP-DAC/VLSI Design |
2002 |
DBLP DOI BibTeX RDF |
|
48 | Subrat Kumar Panda, Arnab Roy 0001, P. P. Chakrabarti 0001, Rajeev Kumar 0004 |
Simulation-based verification using Temporally Attributed Boolean Logic. |
ACM Trans. Design Autom. Electr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
Bus verification, instruction semantics verification, interrupt testing, offline-online verification algorithm, simulation based verification, temporal logic, timing verification |
48 | Elliot Barlas, Tevfik Bultan |
Netstub: a framework for verification of distributed java applications. |
ASE |
2007 |
DBLP DOI BibTeX RDF |
testing and verification of, model checking, automated verification |
48 | Francine Bacchini, Gabe Moretti, Harry Foster, Janick Bergeron, Masayuki Nakamura, Shrenik Mehta, Laurent Ducousso |
Is methodology the highway out of verification hell? |
DAC |
2005 |
DBLP DOI BibTeX RDF |
verification, formal verification, methodology, assertions |
48 | Daniel Jackson 0001 |
Hazards of Verification. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
47 | Brigitte Wirtz |
Average prototypes for stroke-based signature verification. |
ICDAR |
1997 |
DBLP DOI BibTeX RDF |
stroke-based signature verification, average prototypes, enrolment subsystem, verification subsystem, reference construction, verification rates, position-based averaging, time-based averaging, representative input signatures, varying stroke structures, missing strokes, additional strokes, natural stroke structure, input signatures, handwriting recognition, error rate, dynamic signature verification |
46 | Edgar Leonardo Romero, Marius Strum, Jiang Chau Wang |
Comparing two testbench methods for hierarchical functional verification of a bluetooth baseband adaptor. |
CODES+ISSS |
2005 |
DBLP DOI BibTeX RDF |
verification strategy, optimization, functional verification, coverage analysis, hierarchical verification |
46 | Tevfik Bultan, Aysu Betin-Can |
Scalable Software Model Checking Using Design for Verification. |
VSTTE |
2005 |
DBLP DOI BibTeX RDF |
|
45 | Pao-Ann Hsiung, Farn Wang, Ruey-Cheng Chen |
On the verification of Wireless Transaction Protocol using SGM and RED. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
Wireless Transaction Protocol verification, SGM, process concurrency, clock variables, discrete variables, intelligent permutation, explosion factors, scalable verification, State-Graph Manipulators, world standard, large clock constants, large discrete constants, Region Encoding Diagram, state-space size explosions, WTP verification, real time systems, protocols, data structures, data structures, formal verification, formal verification, mobile communication, Wireless Application Protocol, state spaces, RED, state-space methods, reduction techniques |
44 | Jainendra Kumar, Noel R. Strader, Jeff Freeman, Michael Miller |
Emulation verification of the Motorola 68060. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
emulation verification, Motorola 68060, hardware logic emulation, configurable hardware, circuit verification, pseudo-random verification vectors, software application programs, formal verification, microprocessors, reconfigurable architectures, logic CAD, digital simulation, circuit analysis computing, RTL, hardware description languages, hardware description language, microprocessor chips, HDL, gate-level |
43 | Ryan B. Bond, Curtis C. Ober, Patrick M. Knupp |
Measuring progress in Premo order-verification. |
Eng. Comput. |
2007 |
DBLP DOI BibTeX RDF |
|
43 | Sergey V. Polyakov, Assaf Schuster |
Verification of the Java Causality Requirements. |
Haifa Verification Conference |
2005 |
DBLP DOI BibTeX RDF |
Java, Verification, Complexity, Concurrency, Shared Memory, Multithreading, Memory Model |
43 | Harry Foster |
Assertion-Based Verification: Industry Myths to Realities (Invited Tutorial). |
CAV |
2008 |
DBLP DOI BibTeX RDF |
Simulation, Formal Verification, Debugging, Assertion, Functional Verification, Property Specification, Assertion-Based Verification |
43 | Shady Copty, Itai Jaeger, Yoav Katz |
Path-Based System Level Stimuli Generation. |
Haifa Verification Conference |
2005 |
DBLP DOI BibTeX RDF |
|
43 | Chien-Ju Ho, Kuan-Ta Chen |
On formal models for social verification. |
KDD Workshop on Human Computation |
2009 |
DBLP DOI BibTeX RDF |
Amazon Mechanical Turk, sequential verification, simultaneous verification, game theory, human computation, games with a purpose |
42 | Milind Kulkarni 0003, J. Benita Bommi |
Assertion-Based Verification for the SpaceCAKE Multiprocessor - A Case Study. |
Haifa Verification Conference |
2005 |
DBLP DOI BibTeX RDF |
|
42 | Kun Peng, Feng Bao 0001 |
Batch ZK Proof and Verification of OR Logic. |
Inscrypt |
2008 |
DBLP DOI BibTeX RDF |
Batch proof and verification, knowledge statements linked with OR logic |
42 | Wei Wu 0001, Yi Mu 0001, Willy Susilo, Xinyi Huang 0001 |
Server-Aided Verification Signatures: Definitions and New Constructions. |
ProvSec |
2008 |
DBLP DOI BibTeX RDF |
Server-aided computation, server-aided verification, BLS, ZSS, untrusted server, random oracle |
40 | Maria C. Yuang, Aaron Kershenbaum |
Parallel Protocol Verification: The Two-Phase Algorithm and Complexity Analysis. |
Automatic Verification Methods for Finite State Systems |
1989 |
DBLP DOI BibTeX RDF |
|
40 | Shmuel Ur |
A Panel: Unpaved Road Between Hardware Verification and Software Testing Techniques. |
Haifa Verification Conference |
2006 |
DBLP DOI BibTeX RDF |
|
39 | Aarti Gupta |
From Hardware Verification to Software Verification: Re-use and Re-learn. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
39 | Armen Kostanyan, Vardan Matevosyan, Samvel K. Shoukourian, Anna Varosyan |
An approach for formal verification of business processes. |
SpringSim |
2009 |
DBLP DOI BibTeX RDF |
cycle transformation, formal verification, business process |
39 | Hana Chockler, Orna Kupferman, Moshe Y. Vardi |
Coverage metrics for formal verification. |
Int. J. Softw. Tools Technol. Transf. |
2006 |
DBLP DOI BibTeX RDF |
Algorithms, Model checking, Formal verification, Coverage metrics |
38 | Haiyan Xiong, Paul Curzon, Sofiène Tahar, Ann Blandford |
Formally Linking MDG and HOL Based on a Verified MDG System. |
IFM |
2002 |
DBLP DOI BibTeX RDF |
hybrid verification systems, deductive theorem proving, symbolic state enumeration, usability verification, hardware verification |
38 | Ahmed Bouajjani, Peter Habermehl, Tomás Vojnar |
Verification of parametric concurrent systems with prioritised FIFO resource management. |
Formal Methods Syst. Des. |
2008 |
DBLP DOI BibTeX RDF |
Parameterised verification, Infinite-state system verification, Cut off, Parameterised networks of processes, Model checking, Formal verification, Resource sharing |
38 | Balkhis Abu Bakar, Tomasz Janowski |
Automated Result Verification with AWK. |
ICECCS |
2000 |
DBLP DOI BibTeX RDF |
AWK, result-based specifications, formal specification, formal verification, specification, software components, error detection, program generators, result-verification, result verification |
38 | Valeria Bertacco |
Low maintenance verification. |
SBCCI |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Hana Chockler, Orna Kupferman, Moshe Y. Vardi |
Coverage Metrics for Formal Verification. |
CHARME |
2003 |
DBLP DOI BibTeX RDF |
|
37 | John M. Rushby, Friedrich W. von Henke |
Formal Verification of Algorithms for Critical Systems. |
IEEE Trans. Software Eng. |
1993 |
DBLP DOI BibTeX RDF |
machine-checked verification, Byzantine fault-tolerant algorithm, digital flight control system, fault-tolerant synchronization, EHDM system, formal specification, formal specification, formal verification, fault tolerant computing, software reliability, safety, synchronisation, critical systems |
37 | Domagoj Babic, Alan J. Hu |
Exploiting Shared Structure in Software Verification Conditions. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Satoshi Yamane |
The verification technique of real-time systems using probabilities. |
RTCSA |
1996 |
DBLP DOI BibTeX RDF |
performance properties, dense time model, dense time statecharts, automatic verification method, dense time model checking, real-time systems, reliability, formal specification, formal verification, formal verification, temporal logic, probabilities, verification technique |
36 | Ajay J. Daga, William P. Birmingham |
A symbolic-simulation approach to the timing verification of interacting FSMs. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
symbolic-simulation approach, interacting FSMs, timing verifier, complex sequential circuit verification, combinational paths, inherently modular nature, symbolic simulation verification methodology, formal verification, logic testing, finite state machines, finite state machines, sequential circuits, circuit analysis computing, timing verification |
36 | Naoki Kobayashi 0001, Naoshi Tabuchi, Hiroshi Unno 0001 |
Higher-order multi-parameter tree transducers and recursion schemes for program verification. |
POPL |
2010 |
DBLP DOI BibTeX RDF |
higher-order tree transducers, tree-processing programs, program verification, higher-order recursion scheme |
36 | Hua Yan, Wei Zhang 0004, Haiyan Zhao, Hong Mei 0001 |
An Optimization Strategy to Feature Models' Verification by Eliminating Verification-Irrelevant Features and Constraints. |
ICSR |
2009 |
DBLP DOI BibTeX RDF |
Problem size, Verification, Reduction, Feature model |
35 | Robert Beers |
Pre-RTL formal verification: an intel experience. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
TLC, explicit state enumeration, microarchitecture verification, formal verification, protocol verification, TLA+ |
35 | Michael Abd-El-Malek, Gregory R. Ganger, Michael K. Reiter, Jay J. Wylie, Garth R. Goodson |
Lazy Verification in Fault-Tolerant Distributed Storage Systems. |
SRDS |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Ann E. Kelley Sobel, Richard C. Linger |
Advances in Software Specification and Verification - Minitrack Introduction. |
HICSS |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Prabhat Jain, Prabhakar Kudva, Ganesh Gopalakrishnan |
Towards a Verification Technique for Large Synchronous Circuits. |
CAV |
1992 |
DBLP DOI BibTeX RDF |
|
35 | Eran Yahav, G. Ramalingam |
Verifying safety properties using separation and heterogeneous abstractions. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
typestate verification, verification, program analysis, abstract interpretation, safety properties |
34 | Alan J. Hu |
Simulation vs. Formal: Absorb What Is Useful; Reject What Is Useless. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Sharad Malik |
A Case for Runtime Validation of Hardware. |
Haifa Verification Conference |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Amir Pnueli, Tamarah Arons |
TLPVS: A PVS-Based LTL Verification System. |
Verification: Theory and Practice |
2003 |
DBLP DOI BibTeX RDF |
|
34 | Susanne Graf, Jean-Luc Richier, Carlos Rodriguez, Jacques Voiron |
What are the Limits of Model Checking Methods for the Verification of Real Life Protocols? |
Automatic Verification Methods for Finite State Systems |
1989 |
DBLP DOI BibTeX RDF |
|
34 | Praveen Tiwari, Raj S. Mitra |
Hybrid Verification of Protocol Bridges. |
IEEE Des. Test Comput. |
2007 |
DBLP DOI BibTeX RDF |
serial protocol, hybrid verification, protocol bridge, model checking, formal verification |
34 | Enrico Tronci, Giuseppe Della Penna, Benedetto Intrigila, Marisa Venturini Zilli |
A Probabilistic Approach to Automatic Verification of Concurrent Systems. |
APSEC |
2001 |
DBLP DOI BibTeX RDF |
Automatic Verification and Validation, Distributed Systems, Model Checking, Embedded Systems, Formal Methods, Reactive Systems, Concurrent Systems, Probabilistic Verification |
34 | George W. Ernst, Raymond J. Hookway, William F. Ogden |
Modular Verification of Data Abstractions with Shared Realizations. |
IEEE Trans. Software Eng. |
1994 |
DBLP DOI BibTeX RDF |
shared realizations, realization level data structure, abstract specification, data structures, semantics, program verification, specification languages, data abstractions, quantification, modular verification, modular specification |
34 | Yoav Hollander |
Is Verification Getting Too Complex? |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Itai Yarom, Viji Patil |
Smart-Lint: Improving the Verification Flow. |
Haifa Verification Conference |
2006 |
DBLP DOI BibTeX RDF |
|
34 | Moab Arar, Michael L. Behm, Odellia Boni, Raviv Gal, Alex Goldin, Maxim Ilyaev, Einat Kermany, John R. Reysa, Bilal Saleh, Klaus-Dieter Schubert, Gil Shurek, Avi Ziv |
The Verification Cockpit - Creating the Dream Playground for Data Analytics over the Verification Process. |
Haifa Verification Conference |
2015 |
DBLP DOI BibTeX RDF |
|
34 | Nicolas Halbwachs, Fabienne Lagnier, Christophe Ratel |
Programming and Verifying Real-Time Systems by Means of the Synchronous Data-Flow Language LUSTRE. |
IEEE Trans. Software Eng. |
1992 |
DBLP DOI BibTeX RDF |
data-flow language LUSTRE, synchronous data-flow language, critical real-time systems, ergonomy, dataflow approach, traditional description tools, verification tool LESAR, critical properties, real-time systems, parallel programming, program verification, program verification, parallel languages, verification methods, formal design |
34 | Luan Ling Lee, Toby Berger, Erez Aviczer |
Reliable On-Line Human Signature Verification Systems. |
IEEE Trans. Pattern Anal. Mach. Intell. |
1996 |
DBLP DOI BibTeX RDF |
human signature verification, point-of-sale, point-of-delivery, Signature verification, forgery, on-line signature verification, dynamic signature verification |
33 | Fuchun Guo, Yi Mu 0001, Zhide Chen |
Efficient Batch Verification of Short Signatures for a Single-Signer Setting without Random Oracles. |
IWSEC |
2008 |
DBLP DOI BibTeX RDF |
short signature, Batch verification |
33 | C. Richard Ho, Michael Theobald, Martin M. Deneroff, Ron O. Dror, Joseph Gagliardo, David E. Shaw |
Early formal verification of conditional coverage points to identify intrinsically hard-to-verify logic. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
conditional coverage, inconclusive results, formal verification, code coverage, verifiability, coverage hole |
33 | Alon Gluska |
Coverage-oriented verification of banias. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
logic design, coverage, logic verification, functional coverage |
33 | Vangalur S. Alagar, D. Muthiayen |
Towards a mechanical verification of real-time reactive systems modeled in UML. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
Prototype Verification System, real-time systems, UML, Unified Modeling Language, formal specification, object-oriented programming, program verification, specification languages, PVS, notation, safety-critical applications, mechanical verification, real-time reactive systems, design analysis, object-based systems |
33 | Florian Krohm, Andreas Kuehlmann, Arjen Mets |
The use of random simulation in formal verification. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
random simulation, BDD-based verification, counter example pattern, design partitioning, Boolean reasoning, formal verification, formal verification, hardware designs, functional equivalence |
33 | Xu-Hong Xiao, Ru-Wei Dai |
A hierarchical on-line Chinese signature verification system. |
ICDAR |
1995 |
DBLP DOI BibTeX RDF |
Chinese signature verification, static features, statistic decision, input primitive string, reference primitive string, attributed automaton, reference databases, feature extraction, feature extraction, handwriting recognition, template matching, on-line, signature verification, verification processes, dynamic features |
32 | Malay K. Ganai, Akira Mukaiyama, Aarti Gupta, Kazutoshi Wakabayashi |
Synthesizing "Verification Aware" Models: Why and How? |
VLSI Design |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Rajesh K. Gupta 0001, Shishpal Rawat, Sandeep K. Shukla, Brian Bailey, Daniel K. Beece, Masahiro Fujita, Carl Pixley, John O'Leary, Fabio Somenzi |
Formal verification - prove it or pitch it. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
|
32 | Jainendra Kumar, Carl Pixley |
Logic and Functional Verification in a Commercial Semiconductor Environment. |
ACSD |
1998 |
DBLP DOI BibTeX RDF |
|
32 | Tun Li, Sikun Li, Jinshan Yu, Yang Guo 0003 |
A Novel Collaborative Verification Environment for SoC Co-Verification. |
CSCWD |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Noah Bamford, Rekha Bangalore, Eric Chapman, Hector Chavez, Rajeev Dasari, Yinfang Lin, Edgar Jimenez |
Challenges in System on Chip Verification. |
MTV |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Neha Rungta, Eric G. Mercer |
A Meta Heuristic for Effectively Detecting Concurrency Errors. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Dorit Baras, Laurent Fournier, Avi Ziv |
Automatic Boosting of Cross-Product Coverage Using Bayesian Networks. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Brian Bailey |
Can Mutation Analysis Help Fix Our Broken Coverage Metrics?. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Frederic Doucet, R. K. Shyamasundar, Ingolf H. Krüger, Saurabh Joshi 0001, Rajesh K. Gupta 0001 |
Reactivity in SystemC Transaction-Level Models. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Ales Smrcka, Tomás Vojnar |
Verifying Parametrised Hardware Designs Via Counter Automata. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Jonathan S. Ostroff |
Automated Verification of Timed Transition Models. |
Automatic Verification Methods for Finite State Systems |
1989 |
DBLP DOI BibTeX RDF |
|
32 | Jørgen Staunstrup, Stephen J. Garland, John V. Guttag |
Localized Verification of Circuit Descriptions. |
Automatic Verification Methods for Finite State Systems |
1989 |
DBLP DOI BibTeX RDF |
|
32 | Rajeev K. Ranjan 0001, Claudionor Coelho, Sebastian Skalberg |
Beyond verification: leveraging formal for debugging. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
behavioral indexing, post-silicon debugging, traceless debugging, formal verification, debugging, property verification |
32 | Philip W. L. Fong |
Pluggable verification modules: an extensible protection mechanism for the JVM. |
OOPSLA |
2004 |
DBLP DOI BibTeX RDF |
Aegis VM, extensible protection mechanism, pluggable verification modules, proof linking, Java virtual machine, bytecode verification, extensible systems, mobile code security |
32 | Farn Wang, Pao-Ann Hsiung |
Efficient and User-Friendly Verification. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
software engineering, real-time systems, model-checking, Verification, formal methods, timed automata, compositional verification |
32 | Edgard Nyssen, Hichem Sahli, Kui Zhang |
A Multi-stage Online Signature Verification System. |
Pattern Anal. Appl. |
2002 |
DBLP DOI BibTeX RDF |
Function-based method, Handwritten text processing, Multi-stage verification, Parameter-based method, Pattern matching Signature verification |
32 | Brigitte Wirtz |
Stroke-based time warping for signature verification. |
ICDAR |
1995 |
DBLP DOI BibTeX RDF |
stroke-based time warping, function-based signature verification, positional data, 3D nonlinear correlation, signature signals, stroke index, DP index, finite state automaton, reference strokes, dynamic programming, dynamic programming, handwriting recognition, image matching, finite automata, authorisation, correlation methods, pressure, dynamic data, dynamic signature verification |
31 | Robert P. Kurshan |
Scaling Commercial Verification to Larger Systems. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Mark A. Hillebrand, Wolfgang J. Paul |
On the Architecture of System Verification Environments. |
Haifa Verification Conference |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Tobias Schüle, Klaus Schneider 0001 |
Verification of Data Paths Using Unbounded Integers: Automata Strike Back. |
Haifa Verification Conference |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Geoffrey Ying, Andreas Kuehlmann, Kenneth S. Kundert, Georges G. E. Gielen, Eric Grimme, Martin O'Leary, Sandeep Tare, Warren Wong |
Guess, solder, measure, repeat: how do I get my mixed-signal chip right? |
DAC |
2009 |
DBLP DOI BibTeX RDF |
Verilog-AMS, analog behavioral modeling, low power verification, mixed-signal verification, VHDL, SPICE, functional verification, Verilog, performance verification |
31 | Aythami Morales, Miguel Angel Ferrer-Ballester, Marcos Faúndez-Zanuy, Joan Fabregas, Guillermo González de Rivera, Javier Garrido Salas, Ricardo Ribalda, Javier Ortega, Manuel R. Freire |
Biometric System Verification Close to "Real World" Conditions. |
COST 2101/2102 Conference |
2009 |
DBLP DOI BibTeX RDF |
hand-geometry verification, contact-less, online signature verification, speech verification, Biometric, face verification |
31 | Pei-Hsin Ho, Adrian J. Isles, Timothy Kam |
Formal verification of pipeline control using controlled token nets and abstract interpretation. |
ICCAD |
1998 |
DBLP DOI BibTeX RDF |
controlled token net, pipeline control verification, model checking, formal verification, computer-aided design, abstract interpretation, functional verification, processor verification |
31 | Rajdeep Mukhopadhyay, Subrat Kumar Panda, Pallab Dasgupta, John Gough |
Instrumenting AMS assertion verification on commercial platforms. |
ACM Trans. Design Autom. Electr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
OVL, SVA, integrated mixed signal design, verification library, simulation, Assertion |
31 | Karen Zee, Viktor Kuncak, Martin C. Rinard |
Full functional verification of linked data structures. |
PLDI |
2008 |
DBLP DOI BibTeX RDF |
java, verification, data structure, decision procedure, theorem prover |
31 | Xi Chen 0024, Harry Hsieh, Felice Balarin |
Verification Approach of Metropolis Design Framework for Embedded Systems. |
Int. J. Parallel Program. |
2006 |
DBLP DOI BibTeX RDF |
metropolis, simulation, formal verification, meta-model, spin, LTL, property, LOC |
31 | June Andronick, Boutheina Chetali, Christine Paulin-Mohring |
Formal Verification of Security Properties of Smart Card Embedded Source Code. |
FM |
2005 |
DBLP DOI BibTeX RDF |
Source code verification, Security, Formal Methods, Theorem Proving, Smart Card |
31 | Chuchang Liu, Maris A. Ozols, Marie Henderson, Anthony Cant |
Towards Certificate Verification in a Certificate Management System. |
ACSC |
2000 |
DBLP DOI BibTeX RDF |
CA (Certificate Authority), certificate management systems, certificate verification, formal methods, information security, certificate |
31 | Anil K. Jain 0001, Lin Hong, Ruud M. Bolle |
On-Line Fingerprint Verification. |
IEEE Trans. Pattern Anal. Mach. Intell. |
1997 |
DBLP DOI BibTeX RDF |
ridge extraction, verification, Biometrics, matching, fingerprints, minutia, orientation field |
30 | Giuseppe Della Penna, Benedetto Intrigila, Enrico Tronci, Marisa Venturini Zilli |
Exploiting Transition Locality in the Disk Based Mur phi Verifier. |
FMCAD |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Shmuel Ur, Elad Yom-Tov, Paul Wernick |
An Open Source Simulation Model of Software Development and Testing. |
Haifa Verification Conference |
2006 |
DBLP DOI BibTeX RDF |
Simulation, Performance, Design, Algorithms, Reliability, Verification, Measurement, Software Development, Management, Theory, Experimentation, Economics, Iterative design |
30 | Alicia Strang, David Potts, Shankar Hemmady |
A Holistic Approach to SoC Verification. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
holistic verification, verification management, right-brained thinking, verification, debug, SoC, visualization environments |
30 | Íñigo Ugarte, Pablo Sanchez |
Verification of Embedded Systems Based on Interval Analysis. |
Int. J. Parallel Program. |
2005 |
DBLP DOI BibTeX RDF |
Embedded system verification, design for verification, interval analysis, assertion-based verification |
30 | I. S. W. B. Prasetya, A. Azurat, Tanja E. J. Vos, Arthur van Leeuwen |
Building Verification Condition Generators by Compositional Extensions. |
SEFM |
2005 |
DBLP DOI BibTeX RDF |
modular verification, verification tool, verification technique |
30 | Issa Traoré, Demissie B. Aredo |
Enhancing Structured Review with Model-Based Verification. |
IEEE Trans. Software Eng. |
2004 |
DBLP DOI BibTeX RDF |
Structured review, prototype verification system (PVS), model-based verification, UML, formal methods, OCL, validation and verification |
30 | Flor Ramírez Rioja, Mariko Nakano-Miyatake, Héctor M. Pérez Meana, Karina Toscano |
Dynamics features Extraction for on-Line Signature verification. |
CONIELECOMP |
2004 |
DBLP DOI BibTeX RDF |
extraction of dynamics characteristics, forgery detection and off-line signature verification, Signature verification, dynamics verification |
30 | Dariusz Z. Lejtman, Susan E. George |
On-line Handwritten Signature Verification Using Wavelets and Back-propagation Neural Networks. |
ICDAR |
2001 |
DBLP DOI BibTeX RDF |
Handwritten signature verification, Neural networks, Pattern recognition, Wavelet transform, On-line signature verification, Dynamic signature verification |
30 | Hans Samsom, Frank H. M. Franssen, Francky Catthoor, Hugo De Man |
System level verification of video and image processing specifications. |
ISSS |
1995 |
DBLP DOI BibTeX RDF |
formal verification method, front-end telecom, image processing specifications, loop ordering, system level verification, computational complexity, image processing, complexity, formal specification, formal verification, video processing, numerical computing |
30 | Bernard Berthomieu, Michel Diaz |
Modeling and Verification of Time Dependent Systems Using Time Petri Nets. |
IEEE Trans. Software Eng. |
1991 |
DBLP DOI BibTeX RDF |
time dependent systems, explicit values, time-dependent systems, verification, formal specification, parallel programming, Petri nets, protocols, formal verification, specification, program verification, time Petri nets, concurrent systems, communication systems, alternating bit protocol |
30 | Aysu Betin-Can, Tevfik Bultan |
Highly dependable concurrent programming using design for verification. |
Formal Aspects Comput. |
2007 |
DBLP DOI BibTeX RDF |
Model checking, Synchronization, Design patterns, Interfaces, Concurrent programming |
Displaying result #1 - #100 of 53773 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|