The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for architectures with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1976 (20) 1977 (15) 1978 (25) 1979 (17) 1980 (22) 1981 (28) 1982 (39) 1983 (93) 1984 (51) 1985 (68) 1986 (143) 1987 (195) 1988 (271) 1989 (473) 1990 (388) 1991 (374) 1992 (552) 1993 (595) 1994 (805) 1995 (635) 1996 (709) 1997 (714) 1998 (710) 1999 (866) 2000 (1076) 2001 (1066) 2002 (1309) 2003 (1675) 2004 (1877) 2005 (2323) 2006 (2499) 2007 (2797) 2008 (3160) 2009 (2263) 2010 (1837) 2011 (1919) 2012 (1472) 2013 (1393) 2014 (1698) 2015 (1813) 2016 (1693) 2017 (1675) 2018 (1918) 2019 (1720) 2020 (1734) 2021 (1539) 2022 (1497) 2023 (1583) 2024 (253)
Publication types (Num. hits)
article(10156) book(171) data(2) incollection(671) inproceedings(38713) phdthesis(1323) proceedings(561)
Venues (Conferences, Journals, ...)
SPAA(1561) CoRR(1522) ASAP(1110) PACT(995) SIGCOMM(908) DSD(882) BICA(635) ISPAN(629) SAMOS(622) ARC(569) ICA3PP (1)(519) ICA3PP (2)(501) PAAP(488) NANOARCH(466) DASIP(405) SPA(404) More (+10 of total 4851)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 26884 occurrences of 7514 keywords

Results
Found 51597 publication records. Showing 51597 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
48Julio Villalba, J. C. Arrabal, Emilio L. Zapata, Elisardo Antelo, Javier D. Bruguera Radix-4 Vectoring Cordic Algorithm And Architectures. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF radix-4 vectoring CORDIC algorithm, radix-4 vectoring CORDIC architectures, vectoring mode, microrotations, zero skipping technique, recursive architectures, matrix triangularization, rotation angle, computational complexity, complexity, parallel architectures, singular value decomposition, SVD, signal processing, digital arithmetic, digital arithmetic, matrix algebra, pipelined architectures
42Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Evaluating Memory Architectures for Media Applications on Coarse-Grained Recon.gurable Architectures. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Richard T. Bechtold Diagnostic Software Architectures. Search on Bibsonomy ESPRIT ARES Workshop The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Diagnostic Software Architectures, Error Management, Software Families, Embedded Systems, Software Architectures
38Roger Olmstead Compilers and parallel architectures (abstract only): sequential to parallel mapping strategies. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
38Reiner W. Hartenstein, Jürgen Becker 0001, Michael Herz, Rainer Kress 0002, Ulrich Nageldinger A Synthesis System For Bus-Based Wavefront Array Architectures. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis system, bus-based wavefront array architectures, datapath synthesis system, reconfigurable datapath architecture, internal data bus, automatic mapping, datapath units, high speed datapaths, parallel architectures, rapid prototyping, reconfigurable architectures, software prototyping, fine grained parallelism, data manipulations
38Mahmut T. Kandemir, J. Ramanujam, Alok N. Choudhary Compiler Algorithms for Optimizing Locality and Parallelism on Shared and Distributed Memory Machines. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF storage layout, SUN SPARCstation 5, IBM SP-2, SGI Challenge, Convex Exemplar, parallel architectures, parallel architectures, optimizing compilers, interprocessor communication, cache performance, distributed memory machines, shared memory machines, loop nests, data decomposition, compiler algorithms
37Yan Liu 0001, Ian Gorton, Len Bass, Cuong Hoang, Suhail Abanmi MEMS: A Method for Evaluating Middleware Architectures. Search on Bibsonomy QoSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Carl Ebeling, Darren C. Cronquist, Paul Franklin Configurable computing: the catalyst for high-performance architectures. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high-performance architectures, cost-performance, application-specific computation pipelines, static configuration, FPGAs, computational complexity, computer architectures, configurable computing, dynamic control, RaPiD, application-specific hardware
35Flávio Oquendo pi-ADL: an Architecture Description Language based on the higher-order typed pi-calculus for specifying dynamic and mobile software architectures. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF specification languages, Architecture Description Languages, ?-calculus, dynamic architectures, mobile architectures
34D. K. Arvind 0001 Distributed simulation of parallel VLSI architectures. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
34Patrice Quinton, Yves Robert Algorithms and Parallel VLSI Architectures. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
34Marc Moonen Algorithms and architectures for recursive total least squares estimation. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
32Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas The Augmint multiprocessor simulation toolkit for Intel x86 architectures. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors
32James D. Allen, David E. Schimmel The impact of pipelining on SIMD architectures. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF massively parallel SIMD architectures, stall penalties, reduction operations, Scheduling mechanisms, area costs, scheduling, parallel architectures, pipelining, program compilers, pipeline processing, performance improvement, SIMD architectures, instruction delivery
31Krishna M. Kavi, Roberto Giorgi, Joseph Arul Scheduled Dataflow: Execution Paradigm, Architecture, and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF superscalar, Thread Level Parallelism, Multithreaded architectures, decoupled architectures, dataflow architectures
30Joanna Bryson, Lynn Andrea Stein Modularity and Specialized Learning: Mapping between Agent Architectures and Brain Organization. Search on Bibsonomy Emergent Neural Computational Architectures Based on Neuroscience The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Structural and Temporal Modularity, Complete Autonomous Agents, Behavior-Based AI, Brain Organization, Action Selection and Synchronization, Perceptual, Episodic and Semantic Memory, Spatial
30Samuil Angelov, Paul W. P. J. Grefen, Danny Greefhorst A classification of software reference architectures: Analyzing their success and effectiveness. Search on Bibsonomy WICSA/ECSA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Ah Chung Tsoi Recurrent Neural Network Architectures: An Overview. Search on Bibsonomy Summer School on Neural Networks The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30John Hannan Operational Semantics-Directed Compilers and Machine Architectures. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF pass separation, semantics-based compilation, abstract machines
30Philip Heidelberger, M. Seetha Lakshmi A Performance Comparison of Multi-Micro and Mainframe Database Architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
30JongSoo Park, William J. Dally Buffer-space efficient and deadlock-free scheduling of stream applications on multi-core architectures. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compiler and tools for concurrent programming, green computing and power-efficient architectures, multi-core architectures, stream programming
30Marco Ferretti Multi-Media Extensions in Super-Pipelined Micro-Architectures. A New Case for SIMD Processing? Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF super-pipelined microarchitectures, general purpose microprocessors, Von-Neumann paradigm, image processing, parallel architectures, associated memory, instruction set architectures, massively parallel processors, multimedia extensions, SIMD processing
30Roberto R. Osorio, Javier D. Bruguera New arithmetic coder/decoder architectures based on pipelining. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF arithmetic coder/decoder architectures, arithmetic encoding, arithmetic decoding, multilevel images, cycle length, VLSI, pipelining, VLSI architectures
30Jing-Chiou Liou, Michael A. Palis CASS: an efficient task management system for distributed memory architectures. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CASS, granularity optimization, parallel algorithm, parallelism, compiler, parallel architectures, operating system, task scheduling, task management, distributed memory architectures
30Lukasz Strozek, David M. Brooks Energy- and area-efficient architectures through application clustering and architectural heterogeneity. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Efficient custom architectures, heterogeneous ISA processors
30Jaehong Park, Ravi S. Sandhu, J. Schifalacqua Security Architectures for Controlled Digital Information Dissemination. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF controlled digital information dissemination, application-level security, use control, control set, distribution style, cryptography, virtual machine, watermarking, security of data, business data processing, information dissemination, security architectures, business, copy protection
30Chandra Shekhar 0001, Raj Singh, A. S. Mandal, S. C. Bose, Ravi Saini, Pramod Tanwar Application Specific Instruction Set Processors: Redefining Hardware-Software Boundary. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Jesper Berthing, Thomas Maier A Taxonomy for Modelling Safety Related Architectures in Compliance with Functional Safety Requirements. Search on Bibsonomy SAFECOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dependable architectures, safety related architectures, IEC61508
29Danilo Ardagna, Chiara Francalanci A cost-oriented methodology for the design of web based IT architectures. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF IT architectures, web architectures, cost minimization
29Raminder Singh Bajwa, Robert Michael Owens, Mary Jane Irwin Area Time Trade-Offs in Micro-Grain VLSI Array Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF area time trade-offs, micro-grain VLSI array architectures, massively parallel control-flow architectures, associative memory architecture, Mux-based SIMD architecture, systolic MIMD/MISD computation, data-flow requirements, performance evaluation, performance, VLSI, parallel architectures, FFT, matrix multiplication, RAMs
29Patrick W. Dowd, Kalyani Bogineni, Khaled A. Aly, James A. Perreault Hierarchical Scalable Photonic Architectures for High-Performance Processor Interconnection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF photonic architectures, optical structures, processor interconnection, single-hop, optical fiber communication, parallel architectures, discrete-event simulation, discrete event simulation, analytic models, wavelength division multiplexing, wavelength division multiplexing, optical interconnections, hierarchical, parallel computer architecture, hierarchical architectures
28Wolfgang Karl Some Design Aspects for VLIW Architectures Exploiting Fine - Grained Parallelism. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Yahya Jan, Lech Józwiak CABAC Accelerator Architectures for Video Compression in Future Multimedia: A Survey. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RC hardware architectures, UHDTV, H.264/AVC, video compression, accelerators, multimedia processing, CABAC
28Yahya Jan, Lech Józwiak Survey of Advanced CABAC Accelerator Architectures for Future Multimedia. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RC hardware architectures, UHDTV, H.264/AVC, video compression, accelerators, multimedia processing, CABAC
28Claudia Canali, Sara Casolari, Riccardo Lancellotti Architectures for scalable and flexible Web personalization services. Search on Bibsonomy AAA-IDEA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Web content adaptation, High performance architectures, Web content delivery
28Alfred Zimmermann, Rainer Schmidt 0001, Kurt Sandkuhl, Eman El-Sheikh, Dierk Jugel, Christian M. Schweda, Michael Möhring, Matthias Wißotzki, Birger Lantow Leveraging Analytics for Digital Transformation of Enterprise Services and Architectures. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28Michael Gebhart, Pascal Giessler, Sebastian Abeck Flexible and Maintainable Service-Oriented Architectures with Resource-Oriented Web Services. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28Eman El-Sheikh, Alfred Zimmermann, Lakhmi C. Jain Evolution of Service-Oriented and Enterprise Architectures: An Introduction. Search on Bibsonomy Emerging Trends in the Evolution of Service-Oriented and Enterprise Architectures The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28Iván Contreras, José Ignacio Hidalgo, Laura Núñez-Letamendia, Yiyi Jiang Parallel Architectures for Improving the Performance of a GA Based Trading System. Search on Bibsonomy Parallel Architectures and Bioinspired Algorithms The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Marianne J. Jantz, Prasad A. Kulkarni Understand and categorize dynamically dead instructions for contemporary architectures. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Ahmed Al-Maashri, Guangyu Sun 0003, Xiangyu Dong, Yuan Xie 0001, Narayanan Vijaykrishnan Influence of Stacked 3D Memory/Cache Architectures on GPUs. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Shan Yan, Bill Lin 0001 Design of Application-Specific 3D Networks-on-Chip Architectures. Search on Bibsonomy 3D Integration for NoC-based SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Jon G. Hall, John Grundy 0001, Ivan Mistrík, Patricia Lago, Paris Avgeriou Introduction: Relating Requirements and Architectures. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Luciano Baresi, Liliana Pasquale Adaptation Goals for Adaptive Service-Oriented Architectures. Search on Bibsonomy Relating Software Requirements and Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Carlos Kavka, Luka Onesti, Enrico Rigoni, Alessandro Turco, Sara Bocchio, Fabrizio Castro, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Giovanni Mariani, Dongrui Fan, Hao Zhang 0009, Shibin Tang Design Space Exploration of Parallel Architectures. Search on Bibsonomy Multi-objective Design Space Exploration of Multiprocessor SoC Architectures The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Peter M. Athanas, Jürgen Becker 0001, Jürgen Teich, Ingrid Verbauwhede 10281 Abstracts Collection - Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Peter M. Athanas, Jürgen Becker 0001, Jürgen Teich, Ingrid Verbauwhede 10281 Summary - Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Matthias Hanke, Tim Kranich, Mladen Berekovic, Yannis Papaefstathiou Low-Power Reconfigurable Architectures for High-Performance Mobile Nodes. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Karl Cheng-Heng Fua, Ian Horswill, Andrew Ortony, William Revelle Reinforcement Sensitivity Theory and Cognitive Architectures. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2009 DBLP  BibTeX  RDF
28Matthew Klenk Transfer as a Benchmark for Multi-Representational Architectures. Search on Bibsonomy AAAI Fall Symposium: Multi-Representational Architectures for Human-Level Intelligence The full citation details ... 2009 DBLP  BibTeX  RDF
28Steven Brian Morphet An Engineering View of Cognitive Architectures. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2009 DBLP  BibTeX  RDF
28Gary Berg-Cross Emergently Developed Cognitive Architectures: Testing by Developmental Robotics. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2009 DBLP  BibTeX  RDF
28Annie A. M. Cuyt, Walter Krämer, Wolfram Luther, Peter W. Markstein 08021 Summary - Numerical Validation in Current Hardware Architectures. Search on Bibsonomy Numerical Validation in Current Hardware Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
28Wolfram Luther, Annie A. M. Cuyt, Walter Krämer, Peter W. Markstein 08021 Abstracts Collection - Numerical Validation in Current Hardware Architectures. Search on Bibsonomy Numerical Validation in Current Hardware Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
28Rodrigo Ventura 0001 Action and Adaptation: Lessons from Neurobiology and Challenges for Robot Cognitive Architectures. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
28Stephen Grossberg Towards Self-Organizing Autonomous Brain-Inspired Cognitive Architectures. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
28Claudius Gros, Gregor Kaczor Evolving Complete Cognitive Architectures: The Role of Neural Competition and Diffusive Emotional Control for Learning and Emergent Cognitive Capabilities. Search on Bibsonomy AAAI Fall Symposium: Biologically Inspired Cognitive Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
28Jürgen Nehmer, Thomas Kleinberger 07462 Summary -- Assisted Living Systems - Models, Architectures and Engineering Approaches. Search on Bibsonomy Assisted Living Systems - Models, Architectures and Engineering Approaches The full citation details ... 2007 DBLP  BibTeX  RDF
28Arthur I. Karshmer, Jürgen Nehmer, Hartmut Raffler, Gerhard Tröster 07462 Abstracts Collection -- Assisted Living Systems - Models, Architectures and Engineering Approaches. Search on Bibsonomy Assisted Living Systems - Models, Architectures and Engineering Approaches The full citation details ... 2007 DBLP  BibTeX  RDF
28Mihai Barbuceanu, Rune Teigen Higher Level Integration by Multi-Agent Architectures. Search on Bibsonomy Handbook on Architectures of Information Systems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Rainer Buchty Reconfigurable Architectures and Instruction Sets: Programmability, Code Generation, and Program Execution. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Frank Leymann, Wolfgang Reisig, Satish R. Thatte, Wil M. P. van der Aalst 06291 Abstracts Collection -- The Role of Business Processes in Service-Oriented Architectures. Search on Bibsonomy The Role of Business Processes in Service Oriented Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Jürgen Becker 0001, Jürgen Teich, Gordon J. Brebner, Peter M. Athanas 06141 Abstracts Collection -- Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Jürgen Becker 0001, Jürgen Teich, Gordon J. Brebner, Peter M. Athanas 06141 Executive Summary -- Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Gerard J. M. Smit, André B. J. Kokkeler, Pascal T. Wolkotte, Marcel D. van de Burgwal, Paul M. Heysters Efficient architectures for streaming applications. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Erik R. Altman, James C. Dehnert, Christoph W. Kessler, Jens Knoop 05101 Executive Summary - Scheduling for Parallel Architectures: Theory, Applications, Challenges. Search on Bibsonomy Scheduling for Parallel Architectures The full citation details ... 2005 DBLP  BibTeX  RDF
28Erik R. Altman, James C. Dehnert, Christoph W. Kessler, Jens Knoop 05101 Abstracts Collection - Scheduling for Parallel Architectures: Theory, Applications, Challenges. Search on Bibsonomy Scheduling for Parallel Architectures The full citation details ... 2005 DBLP  BibTeX  RDF
28Carlos Molina, Antonio González 0001, Jordi Tubella Compiler analysis for trace-level speculative multithreaded architectures. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Michael J. Wooldridge, Nicholas R. Jennings Agent Theories, Architectures, and Languages: A Survey. Search on Bibsonomy ECAI Workshop on Agent Theories, Architectures, and Languages The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Jörg P. Müller, Markus Pischel, Michael Thiel Modelling Reactive Behaviour in Vertically Layered Agent Architectures. Search on Bibsonomy ECAI Workshop on Agent Theories, Architectures, and Languages The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Jack J. Stiffler Fault Tolerant Architectures - Past, Present, and (?) Future. Search on Bibsonomy Hardware and Software Architectures for Fault Tolerance The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28David A. Berson, Rajiv Gupta 0001, Mary Lou Soffa URSA: A Unified ReSource Allocator for Registers and Functional Units in VLIW Architectures. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
28G. Menez, Michel Auguin, Fernand Boéri, C. Carrière Contribution of Compilation Techniques to the Synthesis of Dedicated VLIW Architectures. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
28Stephan Murer, Philipp Färber Code Generation for Multi-Threaded Architectures from Dataflow Graphs. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
28Henning Spruth, Frank M. Johannes Architectures for Parallel Slicing Enumeration in VLSI Layout. Search on Bibsonomy Parallel Computer Architectures The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Patrice Quinton, Yves Robert (eds.) Algorithms and Parallel VLSI Architectures II, Proceedings of the International Workshop Algorithms and Parallel VLSI Architectures II, Château de Bonas, Gers, France, June 3-6, 1991 Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1992 DBLP  BibTeX  RDF
28Weijia Shang, Matthew T. O'Keefe, José A. B. Fortes Generalized cycle shrinking. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Jingling Xue, Christian Lengauer Specifying control signals for one-dimensional systolic arrays by uniform recurrence equations. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Selim G. Akl, John M. Calvert, Ivan Stojmenovic Systolic generation of derangements. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28John G. McWhirter, Ian K. Proudler Orthogonal lattice algorithms for adaptive filtering and beamforming. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28M. Van Swaalj, Francky Catthoor, Hugo De Man Signal analysis and signal transformations for ASIC regular array architecture synthesis. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Sanjay V. Rajopadhye An improved systolic algorithm for the algebraic path problem. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Mokhtar Aboelaze, De-Lei Lee, Benjamin W. Wah A programmable VLSI array with constant I/O pins. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Catherine Dezan, Hervé Le Verge, Patrice Quinton, Yannick Saouter The Alpha du Centaur environment. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Tanguy Risset Linear systolic arrays for matrix multiplication: comparisons of existing synthesis methods and new results. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Frédéric Rocheteau, Nicolas Halbwachs POLLUS: A LUSTRE based hardware design environment. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Alle-Jan van der Veen, Patrick M. Dewilde Time-varying system theory for computational networks. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Frédéric Dufaux, Murat Kunt Matrix Multiplication on an associative string processor: application to image compression by Gabor expansion. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Rumen Andonov, Frédéric Gruau A 2D toroidal systolic array for the knapsack problem. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Ravi Varadarajan, Bhavani Ravichandran Refinement based algorithm mapping techniques for linear systolic arrays. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Mirjam Schönfeld, Markus Schwiegershausen, Peter Pirsch Synthesis of intermediate memories for the data supply to processor arrays. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Alain Darte Two heuristics for task scheduling. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Dimitrios Soudris, Michael K. Birbas, Constantinos E. Goutis Direct mapping of nested loops on piecewise regular processor arrays. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Vincent Van Dongen From systolic to periodic array design. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Paul Le Guernic The SIGNAL programming environment. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Frank K. H. A. Dehne, Andrew Rau-Chaplin Parallel algorithms for color image quantization on hypercubes and meshes. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Uwe Vehlies The derivation of dependence graphs from PASCAL programs for array processor design. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Mohammad Shakeel Laghari, Farzin Deravi Comparison of scheduling techniques for the parallel implementation of the Hough transform. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Francky Catthoor, M. Van Swaalj, Jan Rosseel, Hugo De Man Array design methodologies for real-time signal processing in the CATHEDRAL-IV synthesis environment. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
28Gur Saran Adhar, Shietung Peng Parallel algorithms for finding connected, independent and total domination in interval graphs. Search on Bibsonomy Algorithms and Parallel VLSI Architectures The full citation details ... 1991 DBLP  BibTeX  RDF
Displaying result #1 - #100 of 51597 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license