The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase billion-transistor (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2002 (17) 2003-2005 (17) 2006-2008 (21) 2009-2012 (4)
Publication types (Num. hits)
article(10) inproceedings(49)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 41 occurrences of 37 keywords

Results
Found 59 publication records. Showing 59 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
58Doug Burger, James R. Goodman Billion-Transistor Architectures: There and Back Again. Search on Bibsonomy Computer The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Sek M. Chai, Antonio Gentile, D. Scott Wills Impact of Power Density Limitation in Gigascale Integration for the SIMD Pixel Processor. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF billion-transistor, image processing, technology, SIMD, system modeling, parallel computer architecture, Power density, focal plane
43Nikil D. Dutt Quo vadis, BTSoC (Billion Transistor SoC)? Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Lucian Codrescu, Mondira Deb Pant, Tarek M. Taha, John Eble, D. Scott Wills, James D. Meindl Exploring Microprocessor Architectures for Gigascale Integration. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Billion Transistor, Future Tecnologies, Architecture, Microprocessors
33Xin Fu, Tao Li, José A. B. Fortes Soft error vulnerability aware process variation mitigation. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Steve B. Furber Living with Failure: Lessons from Nature? Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Stanislav Sedukhin, Mostafa I. Soliman Trident: Technology-Scalable Architecture for Data Parallel Application. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scalar/vector/matrix processing, data parallel applications, scalable architecture, BLAS
28Reid J. Riedlinger, Ron Arnold, Larry Biro, William J. Bowhill, Jason Crop, Kevin Duda, Eric S. Fetzer, Olivier Franza, Tom Grutkowski, Casey Little, Charles Morganti, Gary Moyer, Ashley O. Munch, Mahalingam Nagarajan, Cheolmin Park, Christopher Poirier, Bill Repasky, Edi Roytman, Tejpal Singh, Matthew W. Stefaniw A 32 nm, 3.1 Billion Transistor, 12 Wide Issue Itanium® Processor for Mission-Critical Servers. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Reid J. Riedlinger, Rohit Bhatia, Larry Biro, William J. Bowhill, Eric S. Fetzer, Paul E. Gronowski, Tom Grutkowski A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Blaine A. Stackhouse, Sal Bhimji, Chris Bostak, Dave Bradley, Brian S. Cherkauer, Jayen Desai, Erin Francom, Mike Gowan, Paul E. Gronowski, Dan Krueger, Charles Morganti, Steve Troyer A 65 nm 2-Billion Transistor Quad-Core Itanium Processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Blaine A. Stackhouse, Brian S. Cherkauer, Michael K. Gowan, Paul E. Gronowski, Chris Lyles A 65nm 2-Billion-Transistor Quad-Core Itanium® Processor. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Yuhua Chen Cell Switched Network-on-Chip - Candidate for Billion-Transistor System-on-Chips. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Dileep Bhandarkar Billion Transistor Chips in Mainstream Enterprise Platforms of the Future. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Patrick Groeneveld Physical Design Challenges for Billion Transistor Chips. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Jason Fritts, Zhao Wu, Wayne H. Wolf Parallel Media Processors for the Billion-Transistor Era. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF PMP, billion transistors, cluster scheduling, parallel architecture, data partitioning, media processor
28Robert C. Aitken, Jason Cong, Randy Harr, Kenneth L. Shepard, Wayne H. Wolf How will CAD handle billion-transistor systems? (panel). Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Doug Burger, James R. Goodman Billion-Transistor Architectures - Guest Editors' Introduction. Search on Bibsonomy Computer The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Christoforos E. Kozyrakis, Stylianos Perissakis, David A. Patterson 0001, Thomas E. Anderson, Krste Asanovic, Neal Cardwell, Richard Fromm, Jason Golbus, Benjamin Gribstad, Kimberly Keeton, Randi Thomas, Noah Treuhaft, Katherine A. Yelick Scalable Processors in the Billion-Transistor Era: IRAM. Search on Bibsonomy Computer The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Mahdiar Hosein Ghadiry, Mahdieh Nadi Senjani, M. T. Manzuri-Shalmani, Dara Rahmati Effect of number of faults on NoC power and performance. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dan Zhao 0001, Yi Wang 0007 MTNet: Design of a Wireless Test Framework for Heterogeneous Nanometer Systems-on-Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Tyrone Tai-On Kwok, Yu-Kwong Kwok On the design, control, and use of a reconfigurable heterogeneous multi-core system-on-a-chip. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Nicholas Allec, Zyad Hassan, Li Shang, Robert P. Dick, Ronggui Yang ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Xingzhi Wen, Uzi Vishkin Fpga-based prototype of a pram-on-chip processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ease-of-programming, explicit multi-threading, on-chip parallel processor, pram, parallel algorithms, xmt
15Shuo Wang, Lei Wang 0003 Design of error-tolerant cache memory for multithreaded computing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jan M. Rabaey Design Without Borders. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Chang-Burm Cho, Wangyuan Zhang, Tao Li 0006 Informed Microarchitecture Design Space Exploration Using Workload Dynamics. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yi Wang 0007, Dan Zhao 0001 Design and Implementation of Routing Scheme for Wireless Network-on-Chip. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Marcal Pol Self-Adaptive Systems to Drive out the Nano-Scale Devil. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jan M. Rabaey Design without Borders - A Tribute to the Legacy of A. Richard Newton. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  BibTeX  RDF
15Per Stenström Chip-multiprocessing and beyond. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Robert D. Mullins, Andrew West, Simon W. Moore The design and implementation of a low-latency on-chip network. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Raj Varada, Mysore Sriram, Kris Chou, James Guzzo Design and integration methods for a multi-threaded dual core 65nm Xeon® processor. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Xeon®, Integration, Design Methods, processor
15Seong-Won Lee, Neungsoo Park, Jean-Luc Gaudiot Low Power Microprocessor Design for Embedded Systems. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Liqun Cheng, Naveen Muralimanohar, Karthik Ramani, Rajeev Balasubramonian, John B. Carter Interconnect-Aware Coherence Protocols for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Andrew B. Kahng CAD challenges for leading-edge multimedia designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Venkatanand Venkatachalapathy Microarchitectural Wire Management for Performance and Power in Partitioned Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Chun Liu 0001, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin Exploiting Barriers to Optimize Power Consumption of CMPs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Sudeep Pasricha, Mohamed Ben-Romdhane Using TLM for Exploring Bus-based SoC Communication Architectures. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Xi Chen 0024, Harry Hsieh, Felice Balarin, Yosinori Watanabe Logic of constraints: a quantitative performance and functional constraint formalism. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Min-cut program decomposition for thread-level speculation. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partitioning, chip multiprocessor, thread-level speculation, min-cut, program decomposition
15Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh A Scalable Communication-Centric SoC Interconnect Architecture. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF switch-based interconnect, butterfly fat-tree, global wire delay, System on chip, interconnect architecture, timing closure
15Jörg Henkel, Wayne H. Wolf, Srimat T. Chakradhar On-chip networks: A scalable, communication-centric embedded system design paradigm. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Rob A. Rutenbar, Anthony R. Bonaccio, Teresa H. Meng, Ernesto Perea, Robert Pitts, Charles G. Sodini, Jim Wieser Will Moore's Law rule in the land of analog? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15César Albenes Zeferino, Altamiro Amadeu Susin SoCIN: A Parametric and Scalable Network-on-Chip. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, Embedded Systems, Systems-on-Chip
15Michael D. Powell, T. N. Vijaykumar Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori current ramping, pipeline muffling, leakage, decoupling capacitors, inductive noise
15Partha Pratim Pande, Cristian Grecu, André Ivanov, Res Saleh Design of a switch for network on chip applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Dan Zhao 0001, Shambhu J. Upadhyaya, Martin Margala Control Constrained Resource Partitioning for Complex SoCs. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Juha-Pekka Soininen, Axel Jantsch, Martti Forsell, Antti Pelkonen, Jari Kreku, Shashi Kumar Extending Platform-Based Design to Network on Chip Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Partha Pratim Pande, Cristian Grecu, André Ivanov High-Throughput Switch-Based Interconnect for Future SoCs. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, Wormhole Routing, Virtual Channels, Interconnect Architecture
15Mohamed Shalan, Vincent John Mooney III Hardware support for real-time embedded multiprocessor system-on-a-chip memory management. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Atalanta, SoCDMMU, real-time operating systems., two-level memory management, real-time systems, embedded systems, System-on-a-Chip, dynamic memory management
15Harshit K. Shah, Pun H. Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Tuomas Valtonen, Tero Nurmi, Jouni Isoaho, Hannu Tenhunen Interconnection of autonomous error-tolerant cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Abby A. Ilumoka Chip Level Signal Integrity Analysis & Crosstalk Prediction Using Artificial Neural Nets. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Uzi Vishkin What to Do with All this Hardware? (Invited Lecture). Search on Bibsonomy CPM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Qiang Chen, Jeffrey A. Davis, Payman Zarkesh-Ha, James D. Meindl A compact physical via blockage model. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15John A. Darringer, Evan E. Davidson, David J. Hathaway, Bernd Koenemann, Mark A. Lavin, Joseph K. Morrell, Khalid Rahmat, Wolfgang Roesner, Erich C. Schanzenbach, Gustavo E. Téllez, Louise Trevillyan EDA in IBM: past, present, and future. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Hugo De Man System-on-Chip Design: Impact on Education and Research. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Michael Nicolaidis, Yervant Zorian Scaling Deeper to Submicron: On-Line Testing to the Rescue. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Francesco Pessolano Heterogeneous Clustered Processors: Organisation and Design. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #59 of 59 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license