The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase bounded-skew (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2005 (15) 2006-2020 (5)
Publication types (Num. hits)
article(7) inproceedings(13)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 17 occurrences of 12 keywords

Results
Found 20 publication records. Showing 20 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Alexander Zelikovsky, Ion I. Mandoiu Practical approximation algorithms for zero- and bounded-skew trees. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
73Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing under Elmore delay. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bounded-skew, pathlength delay, VLSI, global routing, Elmore delay, zero-skew, zero-skew, clock routing, routing trees
71Chung-Wen Albert Tsao, Cheng-Kok Koh UST/DME: a clock tree router for general skew constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Useful Skew, feasible skew range, incremental skew scheduling, merging and embedding, merging region, clock tree
44Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF (inter)connection, boundary merging and embedding, bounded-skew, interior merging and embedding, merging region, merging segment, pathlength delay, VLSI, low power, synchronization, Steiner tree, clock tree, Elmore delay, zero-skew
41Hyo Jung Song, Andrew A. Chien Feedback-Based Synchronization in System Area Networks for Cluster Computing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF link level flow control, Synchronization, cluster computing, system area networks
30Tak-Yung Kim, Taewhan Kim Bounded skew clock routing for 3D stacked IC designs: Enabling trade-offs between power and clock skew. Search on Bibsonomy Green Computing Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Vinayak Honkote, Baris Taskin Skew analysis and bounded skew constraint methodology for rotary clocking technology. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Hamed Fatemi, Andrew B. Kahng, Minsoo Kim, José Pineda de Gyvez Optimal bounded-skew steiner trees to minimize maximum k-active dynamic power. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jianchao Lu, Vinayak Honkote, Xin Chen, Baris Taskin Steiner tree based rotary clock routing with bounded skew and capacitive load balancing. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Ashutosh Chakraborty, Prassanna Sithambaram, Karthik Duraisami, Alberto Macii, Enrico Macii, Massimo Poncino Thermal resilient bounded-skew clock tree optimization methodology. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Simultaneous adaptive wire adjustment and local topology modification for tuning a bounded-skew clock tree. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Moses Charikar, Jon M. Kleinberg, Ravi Kumar 0001, Sridhar Rajagopalan, Amit Sahai, Andrew Tomkins Minimizing Wirelength in Zero and Bounded Skew Clock Trees. Search on Bibsonomy SIAM J. Discret. Math. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Adaptive wire adjustment for bounded skew Clock Distribution Network. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Alexander Zelikovsky, Ion I. Mandoiu Practical Approximation Algorithms for Zero- and Bounded-Skew Trees. Search on Bibsonomy SIAM J. Discret. Math. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Moses Charikar, Jon M. Kleinberg, Ravi Kumar 0001, Sridhar Rajagopalan, Amit Sahai, Andrew Tomkins Minimizing Wirelength in Zero and Bounded Skew Clock Trees. Search on Bibsonomy SODA The full citation details ... 1999 DBLP  BibTeX  RDF
19Andrew B. Kahng, Chung-Wen Albert Tsao Practical Bounded-Skew Clock Routing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Chung-Wen Albert Tsao More Practical Bounded-Skew Clock Routing. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Jason Cong, Cheng-Kok Koh Minimum-Cost Bounded-Skew Clock Routing. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Dennis J.-H. Huang, Andrew B. Kahng, Chung-Wen Albert Tsao On the Bounded-Skew Clock and Steiner Routing Problems. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Jean Mayo, Phil Kearns Distributed Deadlock Detection and Resolution Based on Hardware Clocks. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #20 of 20 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license