The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase branch-prediction (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1993 (19) 1994 (16) 1995-1996 (27) 1997 (25) 1998 (19) 1999 (31) 2000 (23) 2001 (32) 2002 (28) 2003 (34) 2004 (28) 2005 (41) 2006 (32) 2007 (33) 2008 (26) 2009 (18) 2010-2012 (19) 2013-2014 (20) 2015-2017 (16) 2018-2020 (17) 2021-2023 (17)
Publication types (Num. hits)
article(135) inproceedings(384) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 474 occurrences of 214 keywords

Results
Found 521 publication records. Showing 521 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
121Brad Calder, Dirk Grunwald, Michael P. Jones, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn Evidence-Based Static Branch Prediction Using Machine Learning. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF neural networks, performance evaluation, machine learning, decision trees, branch prediction, program optimization
108Renju Thomas, Manoj Franklin Using Dataflow Based Contextfor Accurate Branch Prediction. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Data value based branch prediction, dataflow inherited branch history, speculative execution, dynamic branch prediction
105Gary S. Tyson The effects of predicated execution on branch prediction. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF HP-RISC, Pentium, high-performance, ATOM, branch prediction, predication, PowerPC, Alpha
101Cliff Young, Michael D. Smith 0001 Static correlated branch prediction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch correlation, profile-driven optimization, branch prediction, path profiling
99Scott A. Mahlke, Balas K. Natarajan Compiler Synthesized Dynamic Branch Prediction. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF profile information, pipelined processor, compiler analysis, dynamic branch prediction, branch instruction
98Daniel A. Jiménez Generalizing neural branch prediction. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF machine learning, Branch prediction
98Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea An alternative to branch prediction: pre-computed branches. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction
98Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai Recycling waste: exploiting wrong-path execution to improve branch prediction. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deep pipelines, branch prediction, instruction reuse
94Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero The Effect of Code Reordering on Branch Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
93Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction, processor architectures, Pipeline processors, performance of systems, hardware/software interfaces, computer system implementation
91Brad Calder, Dirk Grunwald, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn Corpus-Based Static Branch Prediction. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C
89Hyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF devirtualization, indirect branch prediction, virtual functions
85Brian L. Deitrich, Ben-Chung Cheng, Wen-mei W. Hwu Improving Static Branch Prediction in a Compiler. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF static branch prediction, profile-based compilation
85Chengmo Yang, Alex Orailoglu Power efficient branch prediction through early identification of branch addresses. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, application-specific processors, dynamic branch prediction
83Sung Woo Chung, Kevin Skadron Using Branch Prediction Information for Near-Optimal I-Cache Leakage. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Power, Branch Prediction, Leakage, Instruction Cache, Drowsy Cache
82Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon Accuracy Enhancement by Selective Use of Branch History in Embedded Processor. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF History Length Adjustment, gshare Predictor, Data Dependency, Branch Prediction, Branch History
81Leo Porter 0001, Dean M. Tullsen Creating artificial global history to improve branch prediction accuracy. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF branch prediction
80Resit Sendag, Joshua J. Yi, Peng-fei Chuang Branch Misprediction Prediction: Complementary Branch Predictors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Cliff Young, Nicholas C. Gloy, Michael D. Smith 0001 A Comparative Analysis of Schemes for Correlated Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
78Resit Sendag, Joshua J. Yi, Peng-fei Chuang, David J. Lilja Low power/area branch prediction using complementary branch predictors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
78Chih-Chieh Lee, I-Cheng K. Chen, Trevor N. Mudge The bi-Mode Branch Predictor. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-level branch prediction, Dynamic branch prediction
77Veerle Desmet, Lieven Eeckhout, Koen De Bosschere Using Decision Trees to Improve Program-Based and Profile-Based Static Branch Prediction. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
77Brad Calder, Dirk Grunwald Reducing Branch Costs via Branch Alignment. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF branch prediction, branch target buffers, trace scheduling, profile-based optimization
75Colin Egan, Gordon B. Steven, Won Shim, Lucian N. Vintan Applying Caching to Two-Level Adaptive Branch Prediction. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Two-level Adaptive Branch Predictors, Cached Correlated Branch Predictors, Prediction Cache
74François Bodin, Isabelle Puaut A WCET-Oriented Static Branch Prediction Scheme for Real Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
74Marius Evers, Po-Yung Chang, Yale N. Patt Using Hybrid Branch Predictors to Improve Branch Prediction Accuracy in the Presence of Context Switches. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch prediction, speculative execution, superscalar, context switch
74Pierre Michaud, André Seznec, Richard Uhlig Trading Conflict and Capacity Aliasing in Conditional Branch Predictors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF 3 C's classification, skewed branch predictor, branch prediction, aliasing
74Soner Önder, Jun Xu, Rajiv Gupta 0001 Caching and Predicting Branch Sequences for Improved Fetch Effectiveness. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch sequence prediction, sequence table, fetch bandwidth, speculative execution
74Jared Stark, Marius Evers, Yale N. Patt Variable Length Path Branch Prediction. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
73Cliff Young, Michael D. Smith 0001 Improving the Accuracy of Static Branch Prediction Using Branch Correlation. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
72Brad Calder, Dirk Grunwald Next Cache Line and Set Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
72Ryan N. Rakvic, Bryan Black, John Paul Shen Completion time multiple branch prediction for enhancing trace cache performance. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
71Matteo Monchiero, Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Power-aware branch prediction techniques: a compiler-hints based approach for VLIW processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power design, branch prediction, VLIW processors
69Jong Wook Kwak, Chu Shik Jhon Recovery Logics for Speculative Update Global and Local Branch History. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Speculative Update Branch History, Recovery Logic, gshare Predictor, Branch Prediction, Branch History
69Beth Simon, Brad Calder, Jeanne Ferrante Incorporating Predicate Information into Branch Predictors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
69André Seznec, Stephen Felix, Venkata Krishnan, Yiannakis Sazeides Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EV8 processor, Branch Prediction
69Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras Implementing branch-predictor decay using quasi-static memory cells. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Energy aware computing
69Onur Aciiçmez, Çetin Kaya Koç, Jean-Pierre Seifert Predicting Secret Keys Via Branch Prediction. Search on Bibsonomy CT-RSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Simultaneous Multi-threading, RSA, Branch Prediction, Side Channel Analysis, Montgomery Multiplication, Modular Exponentiation
68Lucian N. Vintan, Colin Egan Extending Correlation in Branch Prediction Schemes. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
66Pramod Ramarao, Akhilesh Tyagi An Integrated Partitioning and Scheduling Based Branch Decoupling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
66Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero A Comprehensive Analysis of Indirect Branch Prediction. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer
65Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg An experimental study of sorting and branch prediction. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caching, Sorting, branch prediction, pipeline architectures
64Daniel A. Jiménez Piecewise Linear Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Branch prediction techniques for low-power VLIW processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, branch prediction, VLIW processors
63Michael Haungs, Phil Sallee, Matthew K. Farrens Branch Transition Rate: A New Metric for Improved Branch Classification Analysis. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Branch Classification, Transition Rate, Dual Path, Branch Prediction
61Da-Chih David Tang, Ann Marie Grizzaffi Maynard, Lizy Kurian John Contrasting branch characteristics and branch predictor performance of C++ and C programs. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
61Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Eric Sprangle, Robert S. Chappell, Mitch Alsup, Yale N. Patt The Agree Predictor: A Mechanism for Reducing Negative Branch History Interference. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-level branch prediction, branch prediction, speculative execution, superscalar
60Kevin Casey, M. Anton Ertl, David Gregg Optimizing indirect branch prediction accuracy in virtual machine interpreters. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code replication, superinstruction, Interpreter, branch prediction, branch target buffer
60M. Anton Ertl, David Gregg Optimizing indirect branch prediction accuracy in virtual machine interpreters. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code replication, superinstruction, interpreter, branch prediction, branch target buffer
60Arpad Gellert, Adrian Florea, Maria N. Vintan, Colin Egan, Lucian N. Vintan Unbiased Branches: An Open Problem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF unbiased branch, branch difference value prediction, Branch prediction
59I-Cheng K. Chen, John T. Coffey, Trevor N. Mudge Analysis of Branch Prediction Via Data Compression. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
59Karel Driesen, Urs Hölzle Multi-stage Cascaded Prediction. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
59Luiz Vinicius Marra Ribas, Ronaldo Augusto de Lara Gonçalves Evaluating Branch Prediction Using Two-Level Perceptron Table. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Eric Hao, Po-Yung Chang, Yale N. Patt The effect of speculatively updating branch history on branch prediction accuracy, revisited. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF two-level adaptive branch prediction, speculative execution, superscalar processors, out-of-order execution, dynamic branch prediction
57Robert S. Chappell, Francis Tseng, Yale N. Patt, Adi Yoaz Difficult-Path Branch Prediction Using Subordinate Microthreads. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high performance microprocessor, SSMT, microthread, branch prediction, microarchitecture, SMT, helper thread
56Gordon B. Steven, Rubén Anguera, Colin Egan, Fleur L. Steven, Lucian N. Vintan Dynamic Branch Prediction Using Neural Networks. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56David I. August, Daniel A. Connors, John C. Gyllenhaal, Wen-mei W. Hwu Architectural Support for Compiler-Synthesized Dynamic Branch Prediction Strategies: Rationale and Initial Results. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
56Yiannakis Sazeides, Andreas Moustakas, Kypros Constantinides, Marios Kleanthous The Significance of Affectors and Affectees Correlations for Branch Prediction. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Wei Zhang 0002, Bramha Allu Reducing branch predictor leakage energy by exploiting loops. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compiler, Branch prediction, leakage energy
55Wei Zhang 0002, Bramha Allu Loop-based leakage control for branch predictors. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, branch prediction, leakage energy
55Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark Branch Prediction, Instruction-Window Size, and Cache Size: Performance Trade-Offs and Simulation Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction window size, register-update unit, simulation, cache, sampling, branch prediction, Microarchitecture, trade-offs, out-of-order execution
55Jakob Engblom Analysis of the Execution Time Unpredictability caused by Dynamic Branch Prediction. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Juan L. Aragón, José González 0002, José M. García 0001, Antonio González 0001 Confidence Estimation for Branch Prediction Reversal. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Bumyong Choi, Leo Porter 0001, Dean M. Tullsen Accurate branch prediction for short threads. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, branch prediction
54Daniel A. Jiménez Code placement for improving dynamic branch prediction accuracy. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compilers, branch prediction
54Anshuman S. Nadkarni, Akhilesh Tyagi A Trace Based Evaluation of Speculative Branch Decoupling. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Chunrong Lai, Shih-Lien Lu, Yurong Chen 0001, Trista Pei-Chun Chen Improving branch prediction accuracy with parallel conservative correctors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF confidence mechanism, branch prediction, corrector
51C. Y. Ho, Anthony Shi-Sheung Fong Combining Local and Global History Hashing in Perceptron Branch Prediction. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon History Length Adjustable gshare Predictor for High-Performance Embedded Processor. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF History Length Adjustment, gshare Predictor, Branch Prediction, Branch History
50Christian Panis, Ulrich Hirnschrott, Andreas Krall, Gunther Laure, Wolfgang Lazian, Jari Nurmi FSEL - Selective Predicated Execution for a Configurable DSP Core. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Gabriel H. Loh A Simple Divide-and-Conquer Approach for Neural-Class Branch Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Toni Juan, Sanji Sanjeevan, Juan J. Navarro Dynamic History-length Fitting: A Third Level of Adaptivity for Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49Harish Patil, Joel S. Emer Combining Static and Dynamic Branch Prediction to Reduce Destructive Aliasing. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Conditional branch prediction, static branch prediction, profile-based optimization
48Tao Li 0006, Lizy Kurian John, Robert H. Bell Jr. Modeling and Evaluation of Control Flow Prediction Schemes Using Complete System Simulation and Java Workloads. Search on Bibsonomy MASCOTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Xianfeng Li, Tulika Mitra, Abhik Roychoudhury Modeling Control Speculation for Timing Analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro-architectural modeling, worst case execution time, branch prediction, schedulability analysis, instruction cache
48Lei Chen 0021, Steve Dropsho, David H. Albonesi Dynamic Data Dependence Tracking and its Application to Branch Prediction. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
48Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Branch Prediction Using Profile Data. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Onur Aciiçmez, Shay Gueron, Jean-Pierre Seifert New Branch Prediction Vulnerabilities in OpenSSL and Necessary Software Countermeasures. Search on Bibsonomy IMACC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction attacks, cache eviction attacks, Binary Extended Euclidean Algorithm, software mitigation methods, OpenSSL, RSA, Side channel attacks, CRT, modular inversion
45Amirali Baniasadi Power-Aware Branch Predictor Update for High-Performance Processors. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren Speculative Execution and Branch Prediction on Parallel Machines. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
45Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Sung Woo Chung, Kevin Skadron On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-power design, Microprocessors, Cache memories, Energy-aware systems
43C. Y. Ho, K. F. Chong, Chihang Yau, Anthony Shi-Sheung Fong A Study of Dynamic Branch Predictors: Counter versus Perceptron. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Marc Berndl, Benjamin Vitale, Mathew Zaleski, Angela Demke Brown Context Threading: A Flexible and Efficient Dispatch Technique for Virtual Machine Interpreters. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Eitan Federovsky, Meir Feder, Shlomo Weiss Branch Prediction Based on Universal Data Compression Algorithms. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
43Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling out-of-order processors for WCET analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case execution time (WCET) analysis, Out-of-order superscalar processor, Branch prediction, Instruction cache
43Alan Fern, Robert Givan Online Ensemble Learning: An Empirical Study. Search on Bibsonomy Mach. Learn. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF decision trees, boosting, online learning, branch prediction, ensemble learning, bagging
42Jongbok Lee, Wonyong Sung, Soo-Mook Moon An Enhanced Two-Level Adaptive Multiple Branch Prediction for Superscalar Processors. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
42Jason R. C. Patterson Accurate Static Branch Prediction by Value Range Propagation. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
42Andreas Krall Improving Semi-static Branch Prediction by Code Replication. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
42Ulrich Holtmann, Rolf Ernst Experiments with low-level speculative computation based on multiple branch prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
41Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 Understanding and improving operating system effects in control flow prediction. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Rajamani Sethuram, Omar I. Khan, Hari Vijay Venkatanarayanan, Michael L. Bushnell A Neural Net Branch Predictor to Reduce Power. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40P.-H. Chang, Wen-mei W. Hwu Forward semantic: a compiler-assisted instruction fetch method for heavily pipelined processors. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
39Claire Burguière, Christine Rochange, Pascal Sainrat A Case for Static Branch Prediction in Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Anthony S. Fong, C. Y. Ho Global/Local Hashed Perceptron Branch Prediction. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF neural networks, hashing, branch prediction, perceptrons
39Daniel A. Jiménez, Calvin Lin Neural methods for dynamic branch prediction. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF neural networks, Branch prediction
38Amirali Baniasadi, Andreas Moshovos Branch Predictor Prediction: A Power-Aware Branch Predictor for High-Performance Processors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Alex Pajuelo, Antonio González 0001, Mateo Valero Control-Flow Independence Reuse via Dynamic Vectorization. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 521 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license