The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase carry-lookahead (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1993 (16) 1994-1999 (19) 2000-2003 (25) 2004-2005 (15) 2006-2008 (19) 2009-2016 (16) 2017-2021 (18) 2022-2023 (4)
Publication types (Num. hits)
article(67) inproceedings(65)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 115 occurrences of 79 keywords

Results
Found 132 publication records. Showing 132 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
126Vitit Kantabutra A Recursive Carry-Lookahead/Carry-Select Hybrid Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF recursive carry-lookahead/carry-select hybrid adder, double-precision mantissas, spanning tree carry lookahead adder, redundant cell adder, Am29050 microprocessor, Manchester carry chains, delays, adders
125Yu-Ting Pai, Yu-Kumg Chen The Fastest Carry Lookahead Adder. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF central processing unit, integrated circuit, adder, gate delay, carry lookahead adder
104Fu-Chiung Cheng, Stephen H. Unger, Michael Theobald Self-Timed Carry-Lookahead Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay-insenstive circuits, tree iterative circuits, CMOS, Self-timed circuits, carry-lookahead adders
82Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis, Constantin Halatsis C-Testable modified-Booth multipliers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Booth multipliers, design for testability, C-testability, iterative logic arrays, carry lookahead adders, cell fault model
82Jin-Fu Li 0001, Jiunn-Der Yu, Yu-Jen Huang A design methodology for hybrid carry-lookahead/carry-select adders with reconfigurability. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
80Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Easily Testable Cellular Carry Lookahead Adders. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cellular carry lookahead adders, linear-testability, design-for-testability, cell fault model
80Ohsang Kwon, Earl E. Swartzlander Jr., Kevin J. Nowka A fast hybrid carry-lookahead/carry-select adder design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CMOS, domino logic, carry lookahead adder
80Pak K. Chan, Martine D. F. Schlag, Clark D. Thomborson, Vojin G. Oklobdzija Delay Optimization of Carry-Skip Adders and Block Carry-Lookahead Adders Using Multidimensional Dynamic Programming. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF delay optimisation, block carry-lookahead adders, multidimensional dynamic programming, worst-case carry propagation delays, minimum latency, fanin, dynamic programming, digital arithmetic, adders, gate delays, carry logic, fanout, critical path delay, carry-skip adders
67Wen-Chang Yeh, Chein-Wei Jen Generalized Earliest-First Fast Addition Algorithm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Carry-propagation adder, final adder, conditional-sum, carry-lookahead
67John P. Fishburn A Depth-Decreasing Heuristic for Combinational Logic: Or How To Convert a Ripple-Carry Adder Into A Carry-Lookahead Adder Or Anything in-between. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
64Tom Rhyne Limitations on Carry Lookahead Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF high-speed arithmetic, Binary addition, carry lookahead
63Hussain Al-Asaad, John P. Hayes, Brian T. Murray Scalable Test Generators for High-Speed Datapath Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF datapath circuits, scalability, built-in self-test, test generation, on-line testing, carry lookahead
62P. Balasubramanian 0001, Cuong Dang, Douglas L. Maskell, K. Prasad Asynchronous Early Output Section-Carry Based Carry Lookahead Adder with Alias Carry Logic. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
61Yijun Liu, Stephen B. Furber The Design of an Asynchronous Carry-Lookahead Adder Based on Data Characteristics. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Scott Hauck, Matthew M. Hosler, Thomas W. Fry High-performance carry chains for FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
52Scott Hauck, Matthew M. Hosler, Thomas W. Fry High-Performance Carry Chains for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
48R. D. (Shawn) Blanton, John P. Hayes Design of a fast, easily testable ALU. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ALU, adder design, L-testable design, level-testable, carry-lookahead addition, fault diagnosis, logic testing, integrated circuit testing, automatic testing, digital arithmetic, integrated circuit design, adders, logic arrays, test patterns, area overhead, functional faults, carry logic, arithmetic-logic unit, 8 bit
47Peter Celinski, Said F. Al-Sarawi, Derek Abbott, José Francisco López Low depth carry lookahead addition using charge recycling threshold logic. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Chua-Chin Wang, Po-Ming Lee, Rong-Chin Lee, Chenn-Jung Huang A 1.25 GHz 32-bit tree-structured carry lookahead adder. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Sae Hwan Kim, Shiu-Kai Chin Formal Verification of Tree-Structured Carry-Lookahead Adders. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Fu-Chiung Cheng, Stephen H. Unger, Michael Theobald, Wen-Chung Cho Delay-Insensitive Carry-Lookahead Adders. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
47Shobhit Belwal, Rajat Bhattacharjya, Kaustav Goswami 0002, Dip Sankar Banerjee ACLA: An Approximate Carry-Lookahead Adder with Intelligent Carry Judgement and Correction. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
47Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Yasmin Afsharnezhad, Elham Zahraie Salehi CL-CPA: A hybrid carry-lookahead/carry-propagate adder for low-power or high-performance operation mode. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
47P. Balasubramanian 0001, Cuong Dang, Douglas L. Maskell, K. Prasad Approximate Ripple Carry and Carry Lookahead Adders - A Comparative Analysis. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
47P. Balasubramanian 0001, Nikos E. Mastorakis ASIC-based Implementation of Synchronous Section-Carry Based Carry Lookahead Adders. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
47P. Balasubramanian 0001, Nikos E. Mastorakis Design of Synchronous Section-Carry Based Carry Lookahead Adders with Improved Figure of Merit. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
47Atef Ibrahim, Fayez Gebali Optimized structures of hybrid ripple carry and hierarchical carry lookahead adders. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
47P. Balasubramanian 0001, David A. Edwards, William B. Toms Self-Timed Section-Carry Based Carry Lookahead Adders and the Concept of Alias Logic. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
47Habib Ghasemizadeh Tamar, Akbar Ghasemizadeh Tamar, Khayrollah Hadidi, Abdollah Khoei, Pourya Hoseini High speed area reduced 64-bit static hybrid carry-lookahead/carry-select adder. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
47Yajuan He, Chip-Hong Chang A Power-Delay Efficient Hybrid Carry-Lookahead/Carry-Select Based Redundant Binary to Two's Complement Converter. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Pak K. Chan, Martine D. F. Schlag, Clark D. Thomborson, Vojin G. Oklobdzija Delay optimization of carry-skip adders and block carry-lookahead adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
44Ioannis M. Thoidis, Dimitrios Soudris, Jean-Marc Fernandez, Adonios Thanailakis The circuit design of multiple-valued logic voltage-mode adders. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Roger Endrigo Carvalho Porto, Luciano Volcan Agostini Project Space Exploration on the 2-D DCT Architecture of a JPEG Compressor Directed to FPGA Implementation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Javier D. Bruguera, Tomás Lang Multilevel reverse most-significant carry computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Ahmad A. Hiasat High-Speed and Reduced-Area Modular Adder Structures for RNS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF modular adder, hardware requirements, VLSI, Computer arithmetic, Residue Number System, time delay, carry-lookahead adder
32Peter Kornerup Digit-Set Conversions: Generalizations and Application. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF conditional sum addition, digit set conversion, multiplier recoding, nonredundant representation, on-the-fly conversion, parallel prefix computation, carry-lookahead techniques, computer arithmetic, digital arithmetic, multiplying circuits, redundant representation
32Stamatis Vassiliadis, James Phillips, Bart Blaner Interlock Collapsing ALU's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF interlocked fixed point arithmetic logic unit, unsigned binary, binary logical operations, single instruction execution, machine cycle, architectural compatibility, parallel processing, digital arithmetic, adders, CMOS integrated circuits, CMOS technology, carry-save adder, carry-lookahead adder, two's complement
32Barry S. Fagin Fast Addition of Large Integers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF computation time asymmetry, large integers, massively parallel algorithms, average case behavior, large n-bit additions, multiple bits, parallel algorithms, computational model, digital arithmetic, massively parallel processor, binary addition, carry-lookahead
32Richard P. Brent, H. T. Kung 0001 A Regular Layout for Parallel Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF area-time complexity, parallel addition, parallel polynomial evaluation, VLSI, models of computation, circuit design, Addition, combinational logic, prefix computation, carry lookahead
32Daniel E. Atkins, Shauchi Ong Time-Component Complexity of Two Approaches to Multioperand Binary Addition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF time-component complexity, Adder tree, multioperand addition, carry-save adder, carry-lookahead adder, binary addition
32Stephen H. Unger Tree Realizations of Iterative Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF conditional sum, flow tables, high speed arithmetic units, iterative circuits, modular circuits, semi-groups, tree circuits, synthesis, combinational circuits, Adders, carry lookahead, binary adders
32Siyi Wang, Anubhab Baksi, Anupam Chattopadhyay A Higher Radix Architecture for Quantum Carry-lookahead Adder. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Bhaskar Gaur, Edgard Muñoz-Coreas, Himanshu Thapliyal A Logarithmic Depth Quantum Carry-Lookahead Modulo (2n - 1) Adder. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Francisco Orts, Gloria Ortega López, Ernestas Filatovas, Ester M. Garzón Implementation of three efficient 4-digit fault-tolerant quantum carry lookahead adders. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Muhammad Ali Akbar, Bo Wang 0012, Amine Bermak Self-Repairing Carry-Lookahead Adder With Hot-Standby Topology Using Fault-Localization and Partial Reconfiguration. Search on Bibsonomy IEEE Open J. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Himanshu Thapliyal, Edgard Muñoz-Coreas, Vladislav Khalus Quantum circuit designs of carry lookahead adder optimized for T-count T-depth and qubits. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
32Haowei Jiang, Feiwei Qin, Jin Cao, Yong Peng 0001, Yanli Shao Recurrent Neural Network from Adder's Perspective: Carry-lookahead RNN. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
32Himanshu Thapliyal, Edgard Muñoz-Coreas, Vladislav Khalus Quantum Carry Lookahead Adders for NISQ and Quantum Image Processing. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
32Haowei Jiang, Feiwei Qin, Jin Cao, Yong Peng 0001, Yanli Shao Recurrent neural network from adder's perspective: Carry-lookahead RNN. Search on Bibsonomy Neural Networks The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
32Jaeyoon Park, Youngmin Kim Design and Implementation of Ternary Carry Lookahead Adder on FPGA. Search on Bibsonomy ICEIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
32Himanshu Thapliyal, Edgard Muñoz-Coreas, Vladislav Khalus T-count and Qubit Optimized Quantum Circuit Designs of Carry Lookahead Adder. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
32Himanshu Thapliyal, Edgard Muñoz-Coreas, Vladislav Khalus Special Session: Quantum Carry Lookahead Adders for NISQ and Quantum Image Processing. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
32Gongzhi Liu, Lijing Zheng, Guangyi Wang, Yiran Shen 0002, Yan Liang 0005 A Carry Lookahead Adder Based on Hybrid CMOS-Memristor Logic Circuit. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32P. Balasubramanian 0001, Douglas L. Maskell, Nikos E. Mastorakis Asynchronous Early Output Block Carry Lookahead Adder with Improved Quality of Results. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
32P. Balasubramanian 0001, Douglas L. Maskell, Nikos E. Mastorakis Speed and Energy Optimised Quasi-Delay-Insensitive Block Carry Lookahead Adder. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
32Torben Ægidius Mogensen Reversible In-Place Carry-Lookahead Addition with Few Ancillae. Search on Bibsonomy RC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Nancy S. Soliman, Mohammed E. Fouda, Lobna A. Said, Ahmed H. Madian, Ahmed G. Radwan N-digits Ternary Carry Lookahead Adder Design. Search on Bibsonomy ICM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Sayantani Roy, Arighna Deb, Debesh K. Das Delay Efficient All Optical Carry Lookahead Adder. Search on Bibsonomy VDAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32P. Balasubramanian 0001, Douglas L. Maskell, Nikos E. Mastorakis Asynchronous Early Output Block Carry Lookahead Adder with Improved Quality of Results. Search on Bibsonomy MWSCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Abdulmajeed Alghamdi, Fayez Gebali Performance analysis of 64-bit Carry Lookahead Adders using conventional and hierarchical structure styles. Search on Bibsonomy PACRIM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Mat Binggeli, Spencer Denton, Naga Spandana Muppaneni, Steve Chiu Optimizing carry-lookahead logic through a comparison of PMOS and NMOS block inversions. Search on Bibsonomy EIT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Mojtaba Valinataj A novel self-checking carry lookahead adder with multiple error detection/correction. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Pratik Dutta, Chandan Bandyopadhyay, Chandan Giri, Hafizur Rahaman 0001 Mach-Zehnder Interferometer Based All Optical Reversible Carry-Lookahead Adder. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32P. Balasubramanian, D. Dhivyaa, J. P. Jayakirthika, P. Kaviyarasi, K. Prasad Low power self-timed carry lookahead adders. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Wesley Chu, Ali I. Unwala, Pohan Wu, Earl E. Swartzlander Jr. Implementation of a high speed multiplier using carry lookahead adders. Search on Bibsonomy ACSSC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32A. H. Shaltoot, Ahmed H. Madian Memristor based carry lookahead adder architectures. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
32Davide Sacchetto, M. Haykel Ben Jamaa, Giovanni De Micheli, Yusuf Leblebici Design aspects of carry lookahead adders with vertically-stacked nanowire transistors. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
32Radu Zlatanovici, Sean Kao, Borivoje Nikolic Energy-Delay Optimization of 64-Bit Carry-Lookahead Adders With a 240 ps 90 nm CMOS Design Example. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Agung Trisetyarso, Rodney Van Meter Circuit Design for A Measurement-Based Quantum Carry-Lookahead Adder. Search on Bibsonomy CoRR The full citation details ... 2009 DBLP  BibTeX  RDF
32Gang-Neng Sung, Chun-Ying Juan, Chua-Chin Wang A 32-bit carry lookahead adder design using complementary all-N-transistor logic. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Ranando King, Hai Jiang 0003 CSPA: An Adder Faster Than Carry-Lookahead. Search on Bibsonomy CDES The full citation details ... 2008 DBLP  BibTeX  RDF
32Fatemeh Kashfi, Amir Agah, Sied Mehdi Fakhraie, Saeed Safari 15GHz low-voltage-swing carry-lookahead adder. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Thomas G. Draper, Samuel A. Kutin, Eric M. Rains, Krysta M. Svore A logarithmic-depth quantum carry-lookahead adder. Search on Bibsonomy Quantum Inf. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Kuo-Hsing Cheng, Shun-Wen Cheng, Wen-Shiuan Lee 64-bit Pipeline Carry Lookahead Adder Using all-n-transistor Tspc Logics. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Younggap You, Yong-Dae Kim, Jong Hwa Choi Dynamic Decimal Adder Circuit Design by using the Carry Lookahead. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault Identification in Reconfigurable Carry Lookahead Adders Targeting Nanoelectronic Fabrics. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Sean Kao, Radu Zlatanovici, Borivoje Nikolic A 240ps 64b carry-lookahead adder in 90nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ge Yang 0004, Seong-Ook Jung, Kwang-Hyun Baek, Soo Hwan Kim, Suki Kim, Sung-Mo Kang A 32-bit carry lookahead adder using dual-path all-N logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32John T. O'Donnell, Gudula Rünger Derivation of a logarithmic time carry lookahead addition circuit. Search on Bibsonomy J. Funct. Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Mário C. B. Osorio, Carlos A. Sampaio, André Inácio Reis, Renato P. Ribas Enhanced 32-bit carry lookahead adder using multiple output enable-disable CMOS differential logic. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ECDL, CMOS, adder, digital circuits
32Ge Yang 0004, Seong-Ook Jung, Kwang-Hyun Baek, Soo Hwan Kim, Suki Kim, Sung-Mo Kang A low-power 1.85 GHz 32-bit carry lookahead adder using Dual Path All-N-Logic. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
32James Levy, Jabulani Nyathi A High Performance, Low Area Overhead Carry Lookahead Adder. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
32Radu Zlatanovici, Borivoje Nikolic Power-performance optimal 64-bit carry-lookahead adders. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Bhushan A. Shinkre, James E. Stine A pipelined clock-delayed domino carry-lookahead adder. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Kuo-Hsing Cheng, Wen-Shiuan Lee, Yung-Chong Huang A 1.2 V 500 MHz 32-bit carry-lookahead adder. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Joonho Lim, Dong-Gyu Kim, Soo-Ik Chae A 16-bit carry-lookahead adder using reversible energy recovery logic for ultra-low-energy systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Alessandro De Gloria, Mauro Olivieri Statistical Carry Lookahead Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32June Wang, Zhongde Wang, Graham A. Jullien, William C. Miller Area-Time Analysis of Carry Lookahead Adders Using Enhanced Multiple Output Domino Logic. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
32Clark D. Thomborson, Yi Sun Optimizing carry lookahead adders for semicustom CMOS. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
32Thomas W. Lynch, Earl E. Swartzlander Jr. A Spanning Tree Carry Lookahead Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
32Tin-Fook Ngai, Mary Jane Irwin, Shishpal Rawat Regular Area-Time Efficient Carry-Lookahead Adders. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
32Tin-Fook Ngai, Mary Jane Irwin Regular, area-time efficient carry-lookahead adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
29Sabyasachi Das, Sunil P. Khatri A Novel Hybrid Parallel-Prefix Adder Architecture With Efficient Timing-Area Characteristic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Yajuan He, Chip-Hong Chang A low-power, high-speed RB-to-NB converter for fast redundant binary multiplier. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Ajay Kumar Verma, Paolo Ienne Towards the automatic exploration of arithmetic-circuit architectures. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Michael Nicolaidis Carry checking/parity prediction adders and ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Marius Padure, Sorin Cotofana, Stamatis Vassiliadis CMOS Implementation of Generalized Threshold Functions. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Oscal T.-C. Chen, Robin R.-B. Sheen, S. Wang A low-power adder operating on effective dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Ravichandran Ramachandran, Shih-Lien Lu Efficient arithmetic using self-timing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Mark Whitney, Nemanja Isailovic, Yatish Patel, John Kubiatowicz A fault tolerant, area efficient architecture for Shor's factoring algorithm. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ion trap, control, quantum computing, layout, cad
Displaying result #1 - #100 of 132 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license