The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase clock-schedule (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2005 (15) 2006 (3)
Publication types (Num. hits)
article(3) inproceedings(15)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 22 occurrences of 19 keywords

Results
Found 18 publication records. Showing 18 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
98Atsushi Takahashi 0001, Kazunori Inoue, Yoji Kajitani Clock-tree routing realizing a clock-schedule for semi-synchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-tree routing, semi-synchronous, deferred-merge-embedding (DME), synchronous, buffer insertion, buffer sizing, clock-schedule
72Hai Zhou 0001 Clock schedule verification with crosstalk. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF verification, delay, coupling, clock schedule
69Ivan S. Kourtev, Eby G. Friedman Clock skew scheduling for improved reliability via quadratic programming. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
63Alexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Functional clock schedule optimization. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF clock schedule optimization, time frames, level-sensitive sequential circuits, scheduling, delays, delays, timing, sequential circuits, flip-flops, clocks, circuit optimisation, latches, false paths
59Baris Taskin, Ivan S. Kourtev Delay insertion method in clock skew scheduling. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay insertion, re-convergent paths, optimization, linear programming, clock skew
39Roy Mader, Eby G. Friedman, Ami Litman, Ivan S. Kourtev Large scale clock skew scheduling techniques for improved reliability of digital synchronous VLSI circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Christoph Albrecht, Bernhard Korte, Jürgen Schietke, Jens Vygen Cycle time and slack optimization for VLSI-chips. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Makoto Saitoh, Masaaki Azuma, Atsushi Takahashi 0001 A Clustering Based Fast Clock Schedule Algorithm for Light Clock-Trees. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
30Xinjie Wei, Yici Cai, Xianlong Hong Clock Skew Scheduling Under Process Variations. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Vaibhav Nawale, Thomas W. Chen Optimal useful clock skew scheduling in the presence of variations using robust ILP formulations. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Stephan Held, Bernhard Korte, Jens Maßberg, Matthias Ringe, Jens Vygen Clock Scheduling and Clocktree Construction for High Performance ASICS. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ASIC
21Atsushi Takahashi 0001 Practical Fast Clock-Schedule Design Algorithms. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ruiming Chen, Hai Zhou 0001 Clock schedule verification under process variations. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Graph algorithms for clock schedule optimization. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Wai-Ching Douglas Lam, Cheng-Kok Koh, Chung-Wen Albert Tsao Power Supply Noise Suppression via Clock Skew Scheduling. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Skew Scheduling, Power noise, Clock
17David E. Wallace, Carlo H. Séquin ATV: An Abstract Timing Verifier. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
13Karem A. Sakallah, Trevor N. Mudge, Timothy M. Burks, Edward S. Davidson Synchronization of pipelines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Hai Zhou 0001 Timing Verification with Crosstalk for Transparently Latched Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #18 of 18 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license