The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for compactor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1990 (22) 1991-1999 (15) 2000-2003 (19) 2004-2005 (16) 2006-2007 (16) 2008-2010 (16) 2012-2023 (15)
Publication types (Num. hits)
article(36) inproceedings(83)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 98 occurrences of 64 keywords

Results
Found 119 publication records. Showing 119 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
140Xiao-Feng Li, Ligang Wang 0001, Chen Yang A Fully Parallel LISP2 Compactor with Preservation of the Sliding Properties. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compactor, parallelization, Garbage collector
120Reshma C. Jumani, Niraj Bharatkumar Jain, Virendra Singh, Kewal K. Saluja DX-compactor: distributed X-compaction for SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dx-compactor, hierarchical compactor, x-compactor, SoC, compaction
117Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng ChiYun Compact: A Novel Test Compaction Technique for Responses with Unknown Values. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
100George Entenman, Stephen W. Daniel A fully automatic hierarchical compactor. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
88Yinhe Han 0001, Xiaowei Li 0001, Huawei Li 0001, Anshuman Chandra Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Tester Channels Reduction. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test resource partitioning (TRP), error cancellation, System-on-a-Chip (SoC), diagnose, response compaction
85Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Wu-Tung Cheng, Nilanjan Mukherjee 0001, Mark Kassab X-Press: Two-Stage X-Tolerant Compactor With Programmable Selector. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
85David Marple, Michiel Smulders, Henk Hegen An Efficient Compactor for 45° Layout. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
73Emil Gizdarski Constructing Augmented Multimode Compactors. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF on-chip compression, array codes, linear codes, test data compression
70Jerzy Tyszer, Janusz Rajski, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Mark Kassab, Wu-Tung Cheng, Manish Sharma, Liyang Lai X-Tolerant Compactor with On-Chip Registration and Signature-Based Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF on-chip collection of test data, selective compaction of test responses, fault diagnosis, DFT, embedded test, scan-based designs
70David Marple A Hierarchy Preserving Hierarchical Compactor. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
58Tomoharu Ugawa, Masahiro Yasugi, Taiichi Yuasa Replication-Based Incremental Compaction. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded system, compaction, real-time garbage collection
58Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel Zero-Aliasing Space Compaction of Test Responses Using a Single Periodic Output. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Space compaction, testing, stuck-at faults, system-on-a-chip
58Yinhe Han 0001, Yongjun Xu, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Teste. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel, Krishnendu Chakrabarty Synthesis of single-output space compactors for scan-based sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Andrej A. Morosov, Michael Gössel, Krishnendu Chakrabarty, Bhargab B. Bhattacharya Design of Parameterizable Error-Propagating Space Compactors for Response Observation. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56Wojciech Rajski, Janusz Rajski Modular Compactor of Test Responses. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Ondrej Novák, Zdenek Plíva, Jiri Nosek, Andrzej Hlawiczka, Tomasz Garbolino, Krzysztof Gucwa Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test-per-clock testing, test pattern compression, zero aliasing error, built-in self test, test response compaction
56Michael Gössel, Andrej A. Morosov, Egor S. Sogomonyan A New Totally Error Propagating Compactor for Arbitrary Cores with Digital Interfaces. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
56Jin-Fuw Lee, Chak-Kuen Wong A performance-aimed cell compactor with automatic jogs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
55Laung-Terng Wang, Xiaoqing Wen, Shianling Wu, Zhigang Wang, Zhigang Jiang, Boryau Sheu, Xinli Gu VirtualScan: Test Compression Technology Using Combinational Logic and One-Pass ATPG. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF combinational broadcaster, combinational compactor, fault diagnosis, ATPG, test compression, low-power testing, scan testing
44Haim Kermany, Erez Petrank The Compressor: concurrent, incremental, and parallel compaction. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF garbage collection, memory management, compaction, runtime systems, concurrent garbage collection
44Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei Unknown-tolerance analysis and test-quality control for test response compaction using space compactors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for test, test response compaction
44Janusz Rajski, Jerzy Tyszer, Chen Wang 0014, Sudhakar M. Reddy Convolutional Compaction of Test Responses. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Markus Seuring, Krishnendu Chakrabarty Space Compaction of Test Responses for IP Cores Using Orthogonal Transmission Functions. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF non-modeled faults, one-step compaction, two-step compaction, error detection, transparency, Aliasing
44Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel Zero-Aliasing Space Compression using a Single Periodic Output and its Application to Testing of Embedded Cores. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Sverre Wichlund, Frank Berntsen, Einar J. Aas Reducing ATE Bandwidth and memory requirements: A diagnosis friendly scan test response compactor. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Peter Wohl, John A. Waicukauski, Sanjay Patel, Cy Hay, Emil Gizdarski, Ben Mathew Hierarchical Compactor Design for Diagnosis in Deterministic Logic BIST. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Tomás Pérez Segovia, Anne-Françoise Joanblanq CACTUS: a symbolic CMOS two-dimensional compactor. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41Sching L. Lin, Jonathan Allen Minplex - a compactor that minimizes the bounding rectangle and individual rectangles in a layout. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
29Tomoharu Ugawa, Hideya Iwasaki, Taiichi Yuasa Improved replication-based incremental garbage collection for embedded systems. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded systems, garbage collection, fragmentation, compaction, real-time garbage collection
29Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya An Efficient Scan Tree Design for Compact Test Pattern Set. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei A hybrid scheme for compacting test responses with unknown values. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Yinhe Han 0001, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Response compaction for system-on-a-chip based on advanced convolutional codes. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF X bits masking, aliasing, convolutional code, SOC test, response compaction
29Artur Pogiel, Janusz Rajski, Jerzy Tyszer Convolutional Compactors with Variable Polynomials. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Erik H. Volkerink, Subhasish Mitra Response compaction with any number of unknowns using a new LFSR architecture. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF LFS, x-compact, compression, BIST, VLSI test
29Josep Díaz, Maria J. Serna, Dimitrios M. Thilikos Fixed Parameter Algorithms for Counting and Deciding Bounded Restrictive List H-Colorings. Search on Bibsonomy ESA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Janak H. Patel, Steven S. Lumetta, Sudhakar M. Reddy Application of Saluja-Karpovsky Compactors to Test Responses with Many Unknowns. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Alexej Dmitriev, Michael Gössel, Krishnendu Chakrabarty Robust Space Compaction of Test Responses. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Krishnendu Chakrabarty, John P. Hayes Zero-aliasing space compaction of test responses using multiple parity signatures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Krishnendu Chakrabarty Zero-aliasing space compaction using linear compactors with bounded overhead. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29D. Lambidonis, André Ivanov, Vinod K. Agarwal Fast signature computation for BIST linear compactors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Slawomir Pilarski, Tiko Kameda, André Ivanov Sequential faults and aliasing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Md. Touhidul Islam, Syed Masum Billah SpaceX Mag: An Automatic, Scalable, and Rapid Space Compactor for Optimizing Smartphone App Interfaces for Low-Vision Users. Search on Bibsonomy Proc. ACM Interact. Mob. Wearable Ubiquitous Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Anahita Banaei, Shadrokh Samavi, Ebrahim Nasr-Esfahani Lossless Microarray Image Compression by Hardware Array Compactor. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Yingdi Liu, Sylwester Milewski, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer, Bartosz Wlodarczak X-Tolerant Compactor maXpress for In-System Test Applications With Observation Scan. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Marcel Merten, Sebastian Huhn 0001, Rolf Drechsler A Codeword-based Compactor for On-Chip Generated Debug Data Using Two-Stage Artificial Neural Networks. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Polidor Bratu Multibody System with Elastic Connections for Dynamic Modeling of Compactor Vibratory Rollers. Search on Bibsonomy Symmetry The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Tianyu Xu, Zhijun Zhou, Ruipeng Yan, Zhipeng Zhang, Linxuan Zhu, Chaoran Chen, Fu Xu, Tong Liu Real-Time Monitoring Method for Layered Compaction Quality of Loess Subgrade Based on Hydraulic Compactor Reinforcement. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Jakub Janicki, Grzegorz Mrugalski, Artur Stelmach, Szczepan Urban Scan Chain Diagnosis-Driven Test Response Compactor. Search on Bibsonomy ATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Yingdi Liu, Sylwester Milewski, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer, Bartosz Wldarczak X-Tolerant Tunable Compactor for In-System Test. Search on Bibsonomy ITC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Jayesh Popat, Usha Mehta Statistical security analysis of AES with X-tolerant response compactor against all types of test infrastructure attacks with/without novel unified countermeasure. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Mohammad Urf Maaz, Alexander Sprenger, Sybille Hellebrand A Hybrid Space Compactor for Adaptive X-Handling. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Seongmin Hong, Inho Lee, Yongjun Park 0001 NN compactor: Minimizing memory and logic resources for small neural networks. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26M. Sajjia, Saeed Shirazian, David Egan, Javed Iqbal 0006, Ahmad B. Albadarin, Mark Southern, Gavin Walker Mechanistic modelling of industrial-scale roller compactor 'Freund TF-MINI model'. Search on Bibsonomy Comput. Chem. Eng. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Vishwanath Venkatesan, Mohamad Chaarawi, Quincey Koziol, Edgar Gabriel Compactor: Optimization Framework at Staging I/O Nodes. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Yongxia Liu, Aijiao Cui An Efficient Zero-Aliasing Space Compactor Based on Elementary Gates Combined with XOR Gates. Search on Bibsonomy CAD/Graphics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Baris Ege, Amitabh Das, Santosh Ghosh, Ingrid Verbauwhede Differential Scan Attack on AES with X-tolerant and X-masked Test Response Compactor. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Samah Mohamed Saeed, Ozgur Sinanoglu XOR-Based Response Compactor Adaptive to X-Density Variation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Satyendra Biswas, Sunil R. Das, Emil M. Petriu Space compactor design in VLSI circuits based on graph theoretic concepts. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Tomasz Garbolino, Michal Kopec, Krzysztof Gucwa, Andrzej Hlawiczka Detection, Localisation and Identification of Interconnection Faults Using MISR Compactor. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sverre Wichlund, Einar J. Aas Reducing Scan Test Data Volume and Time: A Diagnosis Friendly Finite Memory Compactor. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Wu-Tung Cheng, Nilanjan Mukherjee 0001, Mark Kassab X-Press Compactor for 1000x Reduction of Test Data. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer Test response compactor with programmable selector. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scan chain selection, unknown states, compression, VLSI test
26Wu-Tung Cheng, Kun-Han Tsai, Yu Huang 0005, Nagesh Tamarapalli, Janusz Rajski Compactor Independent Direct Diagnosis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Andrzej Hlawiczka, Michal Kopec Dependable testing of compactor MISR: an imperceptible problem? Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Eric Guillo, Maxime Gautier Dynamic Modeling and Identification of Earthmoving Engines without Kinematic Constraints: Application to the Compactor. Search on Bibsonomy ICRA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Wonjong Kim, Joohack Lee, Hyunchul Shin A New Hierarchical Layout Compactor Using Simplified Graph Models. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF ASIC
26Paul de Dood, John Wawrzynek, Erwin Liu, Roberto Suaya A Two-Dimensional Topological Compactor With Octagonal Geometry. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Roshan A. Gidwani, Naveed A. Sherwani MISER: An Integrated Three Layer Gridless Channel Router and Compactor. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Dariusz Badura Efficiency of Self-Test Path as a Test Pattern Generator and Test Response Compactor. Search on Bibsonomy Fehlertolerierende Rechensysteme The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Pei-Yung Hsiao, Chen Yung Syau, Wu-Shiung Feng, T. M. Parng, Cheng-Chung Hsu A rule-based compactor for VLSI/CAD mask layout. Search on Bibsonomy COMPSAC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Paul K. Sun An octagonal geometry compactor. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Christopher Kingsley A hiererachical, error-tolerant compactor. Search on Bibsonomy DAC The full citation details ... 1984 DBLP  BibTeX  RDF
26Ralph McGarity, Daniel P. Siewiorek Experiments with the SLIM Circuit Compactor. Search on Bibsonomy DAC The full citation details ... 1983 DBLP  BibTeX  RDF
26Seongmoon Wang, Kedarnath J. Balakrishnan, Wenlong Wei X-Block: An Efficient LFSR Reseeding-Based Method to Block Unknowns for Temporal Compactors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF output compaction, temporal compactor, blocking unknown values, LFSR reseeding, Built-in Self-Test, BIST, test data compression, MISR, response compaction
26Edaena Itzel Bautista Ruíz, Laura Elena Hernández Domínguez, Cynthia Fátima Julián Loaeza, José Antonio Velasco Pérez Ñuu Xaa: a system to support homeless people's self-subsistence. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2008 DBLP  DOI  BibTeX  RDF aluminum can, compactor, homeless people, recycling, quality of life
26Nilanjan Mukherjee, Ramesh Karri Versatile BIST: An Integrated Approach to On-line/Off-line BIST for Data-Dominated Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF data-path architectures, response compactor, concurrency, built-in self test, high-level synthesis, on-line test, pattern generator, test function
15Ozgur Sinanoglu Scan Architecture With Align-Encode. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
15Melanie Elm, Hans-Joachim Wunderlich Scan Chain Organization for Embedded Diagnosis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki GECOM: Test data compression combined with all unknown response masking. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Richard Putman Using reiterative LFSR based X-masking to increase output compression in presence of unknowns. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reiterative, x-masking, compression, LFSR
15Michal Wegiel, Chandra Krintz The mapping collector: virtual memory support for generational, parallel, and concurrent compaction. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel, concurrent, virtual memory, compaction
15Chunsheng Liu, Yu Huang 0005 Effects of Embedded Decompression and Compaction Architectures on Side-Channel Attack Resistance. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Omar I. Khan, Michael L. Bushnell Aliasing Analysis of Spectral Statistical Response Compaction Techniques. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Christoforos N. Hadjicostis Aliasing Probability Calculations for Arbitrary Compaction under Independently Selected Random Test Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault activation probabilities, random testing, compaction, Aliasing probability
15Bjorn De Sutter, Bruno De Bus, Koen De Bosschere Link-time binary rewriting techniques for program compaction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF code abstraction, linker, whole-program optimization, compaction, interprocedural analysis, Program representation, binary rewriting
15Debdeep Mukhopadhyay, Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya CryptoScan: A Secured Scan Chain Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Naomi Nishimura, Prabhakar Ragde, Dimitrios M. Thilikos Parameterized Counting Algorithms for General Graph Covering Problems. Search on Bibsonomy WADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Vitalij Ocheretnij, G. Kouznetsov, Ramesh Karri, Michael Gössel On-Line Error Detection and BIST for the AES Encryption Algorithm with Different S-Box Implementations. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Per Bjesse, Arne Borälv DAG-aware circuit compression for formal verification. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Gang Zeng, Hideo Ito Non-Intrusive Test Compression for SOC Using Embedded FPGA Core. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Laung-Terng Wang, Khader S. Abdel-Hafez, Shianling Wu, Xiaoqing Wen, Hiroshi Furukawa, Fei-Sheng Hsu, Shyh-Horng Lin, Sen-Wei Tsai VirtualScan: A New Compressed Scan Technology for Test Cost Reduction. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Cecilia Metra, T. M. Mak, Martin Omaña 0001 Risks Associated with Faults within Test Pattern Compactors and Their Implications on Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Chen Wang 0014, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Jerzy Tyszer On Compacting Test Response Data Containing Unknown Values. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Dimitris G. Nikolos, Dimitris Nikolos, Haridimos T. Vergos, Costas Efstathiou Efficient BIST schemes for RNS datapaths. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Dimitris G. Nikolos, Dimitris Nikolos, Haridimos T. Vergos, Costas Efstathiou An Efficient BIST scheme for High-Speed Adders. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Peter Wohl, Leendert M. Huisman Analysis and Design of Optimal Combinational Compactors. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel, Krishnendu Chakrabarty Synthesis of single-output space compactors with application to scan-based IP cores. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ondrej Novák, Jiri Nosek Test-per-Clock Testing of the Circuits with Scan. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 119 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license