Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
80 | Telikepalli Kavitha, Katakam Vamsi Krishna |
An improved heuristic for computing short integral cycle bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Exp. Algorithmics ![In: ACM J. Exp. Algorithmics 13, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
integral cycle basis, minimum cycle basis, combinatorial optimization, Directed graph |
63 | Wei-Ting Yen, Shih-Hsu Huang, Chun-Hua Cheng |
Simultaneous Operation Scheduling and Operation Delay Selection to Minimize Cycle-by-Cycle Power Differential. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC Workshops ![In: Emerging Directions in Embedded and Ubiquitous Computing, EUC 2007 Workshops: TRUST, WSOC, NCUS, UUWSN, USN, ESO, and SECUBIQ, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 638-647, 2007, Springer, 978-3-540-77089-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Cycle-by-cycle Power Differential, Low Power, High-Level Synthesis, Integer Linear Programming, Operation Scheduling, Data-Path Synthesis |
59 | Telikepalli Kavitha |
On a Special Co-cycle Basis of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SWAT ![In: Algorithm Theory - SWAT 2008, 11th Scandinavian Workshop on Algorithm Theory, Gothenburg, Sweden, July 2-4, 2008, Proceedings, pp. 343-354, 2008, Springer, 978-3-540-69900-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
59 | Telikepalli Kavitha, Kurt Mehlhorn, Dimitrios Michail 0001, Katarzyna E. Paluch 0001 |
An [(O)\tilde](m2n)\tilde{O}(m^{2}n) Algorithm for Minimum Cycle Basis of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 52(3), pp. 333-349, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Cycle basis, Cycle space, Matrix multiplication, Polynomial algorithms |
56 | Telikepalli Kavitha, Kurt Mehlhorn |
Algorithms to Compute Minimum Cycle Basis in Directed Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 40(4), pp. 485-505, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
56 | Ken-ichi Kawarabayashi, Bruce A. Reed |
Odd cycle packing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 42nd ACM Symposium on Theory of Computing, STOC 2010, Cambridge, Massachusetts, USA, 5-8 June 2010, pp. 695-704, 2010, ACM, 978-1-4503-0050-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
odd cycle, packing |
56 | Romeo Rizzi |
Minimum Weakly Fundamental Cycle Bases Are Hard To Find. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 53(3), pp. 402-424, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Minimum cycle basis problem, Weakly fundamental cycle basis, Fundamental cycle basis, Computational complexity, Approximation algorithm, Combinatorial optimization, Graphs |
56 | Ali Dasdan |
Experimental analysis of the fastest optimum cycle ratio and mean algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 9(4), pp. 385-418, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cycle mean, cycle period, cycle ratio, iteration bound, system performance analysis, discrete event systems, data flow graphs, cycle time, experimental analysis |
52 | Bodo Manthey |
On Approximating Restricted Cycle Covers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAOA ![In: Approximation and Online Algorithms, Third International Workshop, WAOA 2005, Palma de Mallorca, Spain, October 6-7, 2005, Revised Papers, pp. 282-295, 2005, Springer, 3-540-32207-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
52 | Gun Seo, Sun-Moo Kang, Dae Young Kim 0001, Hong-Bum Jeon |
A distributed cycle reset protocol for the high-speed LAN/MAN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 159-163, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
shared medium access protocol, distributed cycle reset protocol, high-speed LAN/MAN, distributed cycle reset function, token reset, distributed cycle reset, access control, local area networks, access protocols, metropolitan area networks |
50 | Raghu Singh |
ISO/IEC draft international standard 12207, software life-cycle processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 111-119, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
49 | Telikepalli Kavitha |
An Õ(m2n) Randomized Algorithm to Compute a Minimum Cycle Basis of a Directed Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP ![In: Automata, Languages and Programming, 32nd International Colloquium, ICALP 2005, Lisbon, Portugal, July 11-15, 2005, Proceedings, pp. 273-284, 2005, Springer, 3-540-27580-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
49 | Harel Paz, David F. Bacon, Elliot K. Kolodner, Erez Petrank, V. T. Rajan |
An efficient on-the-fly cycle collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 29(4), pp. 20, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
concurrent cycle collection, Programming languages, garbage collection, memory management, runtime systems, reference counting |
46 | Bodo Manthey |
Approximation Algorithms for Restricted Cycle Covers Based on Cycle Decompositions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WG ![In: Graph-Theoretic Concepts in Computer Science, 32nd International Workshop, WG 2006, Bergen, Norway, June 22-24, 2006, Revised Papers, pp. 336-347, 2006, Springer, 3-540-48381-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Markus Bläser, Bodo Manthey |
Two Approximation Algorithms for 3-Cycle Covers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPROX ![In: Approximation Algorithms for Combinatorial Optimization, 5th International Workshop, APPROX 2002, Rome, Italy, September 17-21, 2002, Proceedings, pp. 40-50, 2002, Springer, 3-540-44186-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
46 | Kenneth C. Sevcik, Marjory J. Johnson |
Cycle Time Properties of the FDDI Token Ring Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1986 ACM SIGMETRICS joint international conference on Computer performance modelling, measurement and evaluation, North Carolina, State University, USA, May 28-30, 1986, pp. 109-110, 1986, ACM, 0-89791-184-9. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
45 | Markus Bläser, Bodo Manthey |
Approximating Maximum Weight Cycle Covers in Directed Graphs with Weights Zero and One. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 42(2), pp. 121-139, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Approximation algorithms, Combinatorial optimization, Traveling salesman problem, Inapproximability, Cycle covers |
45 | Karuturi R. Krishna Murthy, Liu Jian Hua |
Improved Fourier Transform Method for Unsupervised Cell-Cycle Regulated Gene Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSB ![In: 3rd International IEEE Computer Society Computational Systems Bioinformatics Conference, CSB 2004, Stanford, CA, USA, August 16-19, 2004, pp. 194-203, 2004, IEEE Computer Society, 0-7695-2194-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Fourier transform, Microarray, Cell cycle, Gene prediction |
45 | Stephen S. Yau, Robin A. Nicholl, Jeffrey J. P. Tsai, Sying-Syang Liu |
An Integrated Life-Cycle Model for Software Maintenance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 14(8), pp. 1128-1144, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
integrated life-cycle model, graph rewriting rules, data structure, data structures, graph theory, graph theory, software maintenance, software tools, software tools, programming environments, programming environments, software reliability, data flow, control flow, software life cycle, semantic properties |
43 | Junghee Lee, Joonhwan Yi |
Cycle error correction in asynchronous clock modeling for cycle-based simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 460-465, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
43 | René Soenen, Gustav J. Olling (eds.) |
Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![Kluwer, 1-4020-7327-5 The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
43 | Keyhoon Ko, Byung H. Kim, D. H. Kim, Byoung Kyu Choi |
A Hierarchical CAPP System Architecture for Die Cavity Machining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 129-145, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Osíris Canciglieri Júnior, Robert I. M. Young |
Product Model Based Multiple Viewpoint Information Sharing Using Features Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 109-128, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | F. Giguère, Louis Rivest, Alain Desrochers |
Improving Design Productivity and Product Data Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 77-92, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | S. Haasis, D. Frank, B. Rommel, Michael Weyrich |
Feature-Based Integration of Product, Process and Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 93-108, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Gino Brunetti |
Feature-Based Virtual Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 19-39, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Michiko Matsuda, Hiroto Suzuki, Fumihiko Kimura |
Tolerance Modeling Using the Feature Based Product Model for Prediction of Used Parts Deterioration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 147-164, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Ferruccio Mandorli, Caterina Rizzi, Lorenzo Susca, Umberto Cugini |
An Approach to Implement Feature-Based Applications Using Knowledge Aided Engineering Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 41-55, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Jonathan C. Borg, Franca Giannini |
Exploiting Integrated 'Product' & 'Life-Phase' Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 1-18, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Willem F. Bronsvoort, Alex Noort, J. van den Berg, Geoffry F. M. Hoek |
Product Development with Multiple-View Feature Modelling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 57-76, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | F. Giudice, G. La Rosa, Antonino Risitano |
Product Recovery-Cycles Design: Extension of Useful Life. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Feature Based Product Life-Cycle Modelling ![In: Feature Based Product Life-Cycle Modelling, IFIP TC5/WG5.2 & WG5.3 Conference on Feature Modelling and Advanced Design-for-the-Life-Cycle Systems (FEATS 2001), June 12-14, 2001, Valenciennes, Frances, pp. 165-185, 2001, Kluwer, 1-4020-7327-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
43 | Alex A. Verrijn-Stuart, T. William Olle (eds.) |
Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994 ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![Elsevier, 0-444-82074-4 The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Marcel Franckson |
The Euromethod Deliverable Model and its contribution to the objectives of Euromethod. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 131-149, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Matthias Jarke, Klaus Pohl, Colette Rolland, Jean-Roch Schmitt |
Experience-based method evaluation and improvement: A process modelling approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 1-27, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Mark K. de Weger, Chris A. Vissers |
Issues in design methodologies for distributed information systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 195-208, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Juhani Iivari |
Object-oriented information systems analysis: Comparative analysis of six object oriented analysis methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 85-110, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Frank Harmsen, Sjaak Brinkkemper, J. L. Han Oei |
Situational method engineering for informational system project approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 169-194, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Lina Al-Jadir, André Le Grand, Michel Léonard, Olivier Parchet |
Contribution to the evolution of information systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 47-62, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Holger Herbst, Gerhard Knolmayer, Thomas Myrach, Markus Schlesinger |
The specification of business rules: A comparison of selected methodologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 29-46, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Richard Veryard, Ian G. MacDonald |
EMM/ODP: A methodology for federated and distributed systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 241-273, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Guus J. Ramackers |
Model integration and model execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 223-239, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | J. L. Han Oei, Eckhard D. Falkenberg |
Harmonisation of information system modelling and specification techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 151-168, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Peter N. Creasy, Wolfgang Hesse |
Two level NIAM: A way to get it object-oriented. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 209-221, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Silvana Castano, Valeria De Antonellis, Chiara Francalanci, Barbara Pernici |
Reusability-based comparison of requirement specification methodologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 63-84, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Mary Laamanen |
The IDEF standards process modelling standard. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods and Associated Tools for the Information Systems Life Cycle ![In: Methods and Associated Tools for the Information Systems Life Cycle, Proceedings of the IFIP WG8.1 Working Conference on Methods and Associated Tools for the Information Systems Life Cycle, Maastricht, The Netherlands, 26-28 September, 1994, pp. 121-130, 1994, Elsevier, 0-444-82074-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
43 | Colette Rolland, Corine Cauvet, Philippe Nobecourt, C. Proix, P. Collignon, Jean-Yves Lingat, Carine Souveyet |
The Rubis System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 193-239, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Rogério Carapuça, Luis Andrade, Amílcar Sernadas |
A Database Design and Construction Workbench. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 261-286, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Béla Halassy |
SYDES: A Method and Tool for Information Systems Analysis and Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 241-257, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | T. William Olle |
System Design Specifications for a Conference Organization System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 497-539, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Olga De Troyer, Robert Meersman, P. Verlinden |
RIDL on the CRIS Case: A Workbench for NIAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 375-459, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Ian G. MacDonald |
Automating the Information Engineering Methodology with the Information Engineering Facility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 337-373, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Dzenan Ridjanovic |
Case Tools-Based Rapid Development of RDM Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 3-17, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Sjaak Brinkkemper, N. Brand, J. Moormann |
Deterministic Modelling Procedures for Automatic Analysis and Design Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 117-160, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Ronald K. Stamper, Karl Althans, James Backhouse |
Measur: Method For Eliciting, Analysing and Specifying User Requirements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 67-115, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Bruce I. Blum |
An Illustration of the Integrated Analysis, Design and Construction of an Information System with TEDIUM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 287-336, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Gilles Falquet, Jacques Guyot, Marc Junet, Michel Léonard, R. Bursens, P. Crausaz, Ian Prince |
Concept Integration as an Approach to Information Systems Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 19-65, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | Somjaree Preeyanont-Takahashi |
SIM: A Methodology for Systematic and Interactive Modelling between User and Analyst. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 161-192, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
43 | T. William Olle |
Business Analysis and System Design Specifications for Inventory Control and Purchasing System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computerized Assistance During the Information Systems Life Cycle ![In: Computerized Assistance During the Information Systems Life Cycle, Proceedings of the IFIP WG 8.1 Working Conference on Computerized Assistance During the Information Systems Life Cycle, CRIS 88, Egham, England, 19-22 September, 1988, pp. 463-496, 1988, North-Holland, 0-444-70512-0. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
42 | Telikepalli Kavitha, Kurt Mehlhorn, Dimitrios Michail 0001 |
New Approximation Algorithms for Minimum Cycle Bases of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STACS ![In: STACS 2007, 24th Annual Symposium on Theoretical Aspects of Computer Science, Aachen, Germany, February 22-24, 2007, Proceedings, pp. 512-523, 2007, Springer, 978-3-540-70917-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
42 | Stijn Eyerman, Lieven Eeckhout |
Per-thread cycle accounting in SMT processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009, pp. 133-144, 2009, ACM, 978-1-60558-406-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cycle accounting, simultaneous multithreading (smt), thread-progress aware fetch policy |
42 | Sharif Md. Raihan, Yi Wen, Bing Zeng |
Joint Time-Frequency Distributions for Business Cycle Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAA ![In: Wavelet Analysis and Its Applications, Second International Conference, WAA 2001, Hong Kong, China, December 18-20, 2001, Proceedings, pp. 347-358, 2001, Springer, 3-540-43034-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
non-stationary time series, scalogram and spectrum, Wavelets, time-frequency analysis, business cycle |
42 | Yeh-Ching Chung, Ching-Hsien Hsu, Sheng-Wen Bai |
A Basic-Cycle Calculation Technique for Efficient Dynamic Data Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(4), pp. 359-377, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
the basic-cycle calculation technique, the PITFALLS method, the multiphase method, distributed memory multicomputers, Data redistribution |
42 | Tai-Yi Huang, Jane W.-S. Liu, Jen-Yao Chung |
Allowing cycle-stealing direct memory access I/O concurrent with hard-real-time programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1996 International Conference on Parallel and Distributed Systems (ICPADS '96), June 3-6, 1996, Tokyo, Japan, Proceedings, pp. 422-429, 1996, IEEE Computer Society, 0-8186-7267-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
cycle-stealing direct memory access, I/O concurrent, hard-real-time programs, bus cycles, straight-line sequence, cycle-stealing operations, real-time systems, fault tolerant computing, worst-case execution time, schedulability analysis, file organisation |
41 | Haim Kaplan, Moshe Lewenstein, Nira Shafrir, Maxim Sviridenko |
Approximation algorithms for asymmetric TSP by decomposing directed regular multigraphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 52(4), pp. 602-626, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Approximation algorithms |
39 | Kai Yang, Kwang-Ting Cheng |
Efficient identification of multi-cycle false path. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 360-365, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
39 | Ramesh Hariharan, Telikepalli Kavitha, Kurt Mehlhorn |
A Faster Deterministic Algorithm for Minimum Cycle Bases in Directed Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP (1) ![In: Automata, Languages and Programming, 33rd International Colloquium, ICALP 2006, Venice, Italy, July 10-14, 2006, Proceedings, Part I, pp. 250-261, 2006, Springer, 3-540-35904-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
39 | Erick Antezana, Elena Tsiporkova, Vladimir Mironov, Martin Kuiper |
A Cell-Cycle Knowledge Integration Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DILS ![In: Data Integration in the Life Sciences, Third International Workshop, DILS 2006, Hinxton, UK, July 20-22, 2006, Proceedings, pp. 19-34, 2006, Springer, 3-540-36593-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
39 | Adil Kodian, Anthony Sack, Wayne D. Grover |
p-Cycle Network Design with Hop Limits and Circumference Limits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BROADNETS ![In: 1st International Conference on Broadband Networks (BROADNETS 2004), 25-29 October 2004, San Jose, CA, USA, pp. 244-253, 2004, IEEE Computer Society, 0-7695-2221-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
39 | Wenying Zhang, Chuan-Kun Wu |
The Algebraic Normal Form, Linear Complexity and k-Error Linear Complexity of Single-Cycle T-Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SETA ![In: Sequences and Their Applications - SETA 2006, 4th International Conference, Beijing, China, September 24-28, 2006, Proceedings, pp. 391-401, 2006, Springer, 3-540-44523-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Single-cycle T-function, Algebraic normal form, k-error complexity, Cryptography, Linear complexity |
39 | Tinghua Yi, Hong-Nan Li, Guo-Xin Wang |
Cycle Slip Detection and Correction of GPS Carrier Phase Based on Wavelet Transform and Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISDA (1) ![In: Proceedings of the Sixth International Conference on Intelligent Systems Design and Applications (ISDA 2006), October 16-18, 2006, Jinan, China, pp. 46-50, 2006, IEEE Computer Society, 0-7695-2528-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
cycle slip, artifical neural networks, wavelet transform, global positioning system (GPS) |
39 | Yingren Liu, Karuturi R. Krishna Murthy, Wing-Kin Sung |
ConstrainedMotif: A Periodicity Constraint Based Algorithm to Predict Cell-Cycle Associated Promoter Motifs Using Time-Course Gene Expression Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIBE ![In: Fifth IEEE International Symposium on Bioinformatic and Bioengineering (BIBE 2005), 19-21 October 2005, Minneapolis, MN, USA, pp. 250-257, 2005, IEEE Computer Society, 0-7695-2476-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Motif prediction, Microarray, Constrained optimization, Cell-cycle |
39 | Qiaozhu Mei |
A knowledge processing oriented life cycle study from a Digital Museum system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 42nd Annual Southeast Regional Conference, 2004, Huntsville, Alabama, USA, April 2-3, 2004, pp. 116-121, 2004, ACM, 1-58113-870-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fountain model, knowledge management, e-learning, life cycle, Digital Museum, knowledge mining, Knowledge Flow |
39 | H. M. W. Verbeek, Twan Basten |
Deciding Life-Cycle Inheritance on Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICATPN ![In: Applications and Theory of Petri Nets 2003, 24th International Conference, ICATPN 2003, Eindhoven, The Netherlands, June 23-27, 2003, Proceedings, pp. 44-63, 2003, Springer, 3-540-40334-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
life-cycle inheritance, branching bisimilarity, T-invariants, Petri nets, workflow, Object-orientation, backtracking, structural properties |
39 | Charles J. DeVane |
Efficient circuit partitioning to extend cycle simulation beyond synchronous circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 154-161, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
cycle simulation, levelized compiled code, logic simulation, circuit partitioning |
38 | Franziska Berger, Peter Gritzmann, Sven de Vries |
Minimum Cycle Bases for Network Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 40(1), pp. 51-62, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Graph cycle, Minimum cycle basis, Matroid, Electrical network |
38 | Shung-Liang Wu, Hung-Lin Fu |
Maximum cyclic 4-cycle packings of the complete multipartite graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comb. Optim. ![In: J. Comb. Optim. 14(2-3), pp. 365-382, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Complete multipartite graph, Cycle system, Cycle packing, 4-cycle, Cyclic |
35 | Harel Paz, Erez Petrank, David F. Bacon, Elliot K. Kolodner, V. T. Rajan |
An Efficient On-the-Fly Cycle Collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 14th International Conference, CC 2005, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2005, Edinburgh, UK, April 4-8, 2005, Proceedings, pp. 156-171, 2005, Springer, 3-540-25411-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Gregory Lucas, Chen Dong 0003, Deming Chen |
Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 177-180, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis |
35 | Fei Hou, Yue Qi, Xukun Shen, Shen Yang, Qinping Zhao |
Automatic registration of multiple range images based on cycle space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 25(5-7), pp. 657-665, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Cycle space, Graph, Range image, Automatic registration |
35 | Jaejin Lee, Junghyun Kim, Choonki Jang, Seungkyun Kim, Bernhard Egger 0002, Kwangsub Kim, Sangyong Han |
FaCSim: a fast and cycle-accurate architecture simulator for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 89-100, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
virtual prototyping, architecture simulator, full-system simulation, simulator parallelization, cycle-accurate simulation |
35 | Udo Kannengiesser |
Subsuming the BPM Life Cycle in an Ontological Framework of Designing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIAO! / EOMAS ![In: Advances in Enterprise Engineering I, 4th International Workshop CIAO! and 4th International Workshop EOMAS, held at CAiSE 2008, Montpellier, France, June 16-17, 2008. Proceedings, pp. 31-45, 2008, Springer, 978-3-540-68643-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
BPM life cycle, FBS ontology, BPM |
35 | Jinalben Patel, Roger Y. Lee, Haeng-Kon Kim |
Architectural View in Software Development Life-Cycle Practices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIS-ICIS ![In: 6th Annual IEEE/ACIS International Conference on Computer and Information Science (ICIS 2007), 11-13 July 2007, Melbourne, Australia, pp. 194-199, 2007, IEEE Computer Society, 0-7695-2841-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Software development Life-cycle (SDLC), Architectural view |
35 | Sachiyo Aburatani |
Inference of Complex Regulatory Network for the Cell Cycle System in Saccharomyces Cerevisiae. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AB ![In: Algebraic Biology, Second International Conference, AB 2007, Castle of Hagenberg, Austria, July 2-4, 2007, Proceedings, pp. 350-364, 2007, Springer, 978-3-540-73432-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
graphical chain modeling, gene expression profile, cell cycle |
35 | Kyung Dong Ryu, Jeffrey K. Hollingsworth |
Unobtrusiveness and Efficiency in Idle Cycle Stealing for PC Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
idle cycle stealing, cluster computing, networks of workstations, process migration, Desktop grid, meta-computing |
35 | Muzammil Iqbal, Ahmed Sharkawy, Usman Hameed, Phillip Christie |
Stochastic wire length sampling for cycle time estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Fourth IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2002), April 6-7, 2002, San Diego, California, USA, Proceedings, pp. 91-96, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
cycle time estimates, wire sampling, performance modeling, physical design |
35 | Ching-Hsien Hsu, Sheng-Wen Bai, Yeh-Ching Chung, Chu-Sing Yang |
A Generalized Basic-Cycle Calculation Method for Efficient Array Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(12), pp. 1201-1216, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
generalized basic-cycle calculation method, distributed memory multicomputers, Redistribution |
35 | Kevin Kane, Blair Dillaway |
Cyclotron: a secure, isolated, virtual cycle-scavenging grid in the enterprise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MGC ![In: Proceedings of the 6th International Workshop on Middleware for Grid Computing (MGC 2008), held at the ACM/IFIP/USENIX 9th International Middleware Conference, December 1-5, Leuven, Belgium, pp. 2, 2008, ACM, 978-1-60558-365-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cycle-scavenging, security, access control, grid computing, virtualization, policy language, cycle-stealing |
35 | Weijia Shang, Matthew T. O'Keefe, José A. B. Fortes |
On Loop Transformations for Generalized Cycle Shrinking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(2), pp. 193-204, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
generalized cycle shrinking, nested loop structures, selective cycle shrinking, conflict-free mappings, scheduling, parallelism, program compilers, loop transformations, linear scheduling |
34 | Pi-Rong Sheu, Charng-Maw Lin |
A fast optimal slot reuse scheme for CRMA high speed networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 22nd IEEE Conference on Local Computer Networks (LCN '97), 2-5 November 1997, Minneapolis, Minnesota, USA, Proceedings, pp. 220-229, 1997, IEEE Computer Society, 0-8186-8141-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
CRMA high speed networks, cyclic-reservation multiple-access, fast optimal slot reuse scheme, high-speed local area networks, high-speed metropolitan area networks, folded-bus configurations, dual-bus configurations, reserve command generation, empty slots reservation, average cycle length, average throughput, average MAC delay, performance measurements, time complexity, computer simulations, NP-complete problem, metropolitan area networks, access delay |
34 | Robert Yung |
Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 178-190, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor |
34 | Steven J. Greenwald |
E-Prime for security: a new security paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NSPW ![In: Proceedings of the New Security Paradigms Workshop 2006, Schloss Dagstuhl, Germany, September 19-22, 2006, pp. 87-95, 2006, ACM, 978-1-59593-923-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Clark-Wilson, E-Prime, Sapir-Whorf hypothesis, disaster planning, enterprise security policy, general semantics, incident handling, informal security policy modeling, organizational security policy, principle of linguistic relativity, security life cycle, software life cycle SP800-12, user awareness, user centered security, user support and operations, modeling, formal methods, planning, RBAC, role-based access control, risk management, information assurance, security engineering, life cycle, spam detection, user training, security policy modeling, program management |
32 | María C. Molina, Rafael Ruiz-Sautua, Jose Manuel Mendias, Román Hermida |
Area optimization of multi-cycle operators in high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 449-454, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Aleksi Horsti |
Combining Critical Success Factors and Life Cycle Model to Enable Evaluation of e-business models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
I3E ![In: Project E-Society: Building Bricks, 6TH IFIP International Conference on e-Commerce, e-Business, and e-Government (I3E 2006), October 11-13, 2006, Turku, Finland, pp. 337-351, 2006, Springer, 978-0-387-39226-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Chakkaphan Athapornmongkon, Jochen Deuse, Chee Yew Wong |
Pull production cycle-time under varying product mixes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference WSC 2006, Monterey, California, USA, December 3-6, 2006, pp. 1997-2002, 2006, IEEE Computer Society, 1-4244-0501-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Cristiano Pereira, Jeremy Lau, Brad Calder, Rajesh K. Gupta 0001 |
Dynamic phase analysis for cycle-close trace generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 321-326, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
SimPoint, simulation, tracing, phase |
32 | Nicole Immorlica, Mohammad Mahdian, Vahab S. Mirrokni |
Cycle Cover with Short Cycles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STACS ![In: STACS 2005, 22nd Annual Symposium on Theoretical Aspects of Computer Science, Stuttgart, Germany, February 24-26, 2005, Proceedings, pp. 641-653, 2005, Springer, 3-540-24998-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
32 | Subodh Gupta, Farid N. Najm |
Energy and peak-current per-cycle estimation at RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 11(4), pp. 525-537, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | T. Gawa, Kenji Taniguchi 0001 |
A 50% duty-cycle correction circuit for PLL output. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 21-24, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
32 | Markus Bläser, Bodo Siebert |
Computing Cycle Covers without Short Cycles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Algorithms - ESA 2001, 9th Annual European Symposium, Aarhus, Denmark, August 28-31, 2001, Proceedings, pp. 368-379, 2001, Springer, 3-540-42493-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
32 | Tetsuya Hara, Hideki Ando, Chikako Nakanishi, Masao Nakaya |
Performance Comparison of ILP Machines with Cycle Time Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 213-224, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|