The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cycle-breaking (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2009 (16) 2011-2021 (3)
Publication types (Num. hits)
article(9) inproceedings(10)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 36 occurrences of 31 keywords

Results
Found 19 publication records. Showing 19 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Jian Zhao, Hossam S. Hassanein, Jieyi Wu, Junzhou Luo Congestion-Aware Multicast Routing for Supporting QoS over the Internet. Search on Bibsonomy ISCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cycle breaking, multicast tree construction, Open Shortest Path First (OSPF), Leaky Bucket (LB), Quality of Service (QoS), Multicast routing, network congestion, Weighted Fair Queuing (WFQ)
40Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
34Lev B. Levitin, Mark G. Karpovsky, Mehmet Mustafa Deadlock prevention by turn prohibition in interconnection networks. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Jian Zhao, Hossam S. Hassanein, Jieyi Wu, Junzhou Luo CRMA: A Cycle-breaking Multicast Routing Algorithm for Supporting QoS over the Internet. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Sujit Dey, Srimat T. Chakradhar Design of testable sequential circuits by repositioning flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault
19Brian A. Malloy, Peter J. Clarke, Errol L. Lloyd A Parameterized Cost Model to Order Classes for Class-based Testing of C++ Applications. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Thomas Eiter, Markus Hecher, Rafael Kiesel Treewidth-Aware Cycle Breaking for Algebraic Answer Set Counting. Search on Bibsonomy KR The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Vladimir Sudakov, Alexey Kurennykh Cycle-Breaking Approach to Reduce Inconsistency in Pairwise Comparisons. Search on Bibsonomy CSOC (1) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Álvaro Barbero Jiménez, José R. Dorronsoro Cycle-breaking acceleration for support vector regression. Search on Bibsonomy Neurocomputing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Álvaro Barbero Jiménez, Jorge López Lázaro, José R. Dorronsoro Cycle-breaking acceleration of SVM training. Search on Bibsonomy Neurocomputing The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Lev B. Levitin, Mark G. Karpovsky, Mehmet Mustafa, Lev Zakrevski A New Algorithm for Finding Minimal Cycle-Breaking Sets of Turns in a Graph. Search on Bibsonomy J. Graph Algorithms Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Francesco De Pellegrini, David Starobinski, Mark G. Karpovsky, Lev B. Levitin Scalable Cycle-Breaking Algorithms for Gigabit Ethernet Backbones. Search on Bibsonomy INFOCOM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Tatiana Orenstein, Zvi Kohavi, Irith Pomeranz An optimal algorithm for cycle breaking in directed graphs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF weighted feedback vertex set, graph partition, partial scan, graph reduction, feedback vertex set
15Ruiming Chen, Hai Zhou 0001 Statistical timing verification for transparently latched circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Benjamin G. Jackson, Srinivas Aluru, Patrick S. Schnable Consensus Genetic Maps: A Graph Theoretic Approach. Search on Bibsonomy CSB The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Dong Xiang, Janak H. Patel Partial Scan Design Based on Circuit State Information and Functional Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Valid state, invalid state, testability improvement potential, conflict, testability measure, partial scan design
11Dong Xiang, Yi Xu A Multiple Phase Partial Scan Design Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Kee Sup Kim, Charles R. Kime Partial scan flip-flop selection by use of empirical testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan flip-flop selection, serial scan, design for testability, testability, partial scan
11Kwang-Ting Cheng Transition fault testing for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #19 of 19 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license