The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
96Mahmood Fazlali, Mohammad K. Fallah, Mahdy Zolghadr, Ali Zakerolhosseini A New Datapath Merging Method for Reconfigurable System. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Datapath Merging, Maximum Weighted Clique Algorithm, High Level Synthesis, Reconfigurable Computing
88Reiner W. Hartenstein, Jürgen Becker 0001, Michael Herz, Rainer Kress 0002, Ulrich Nageldinger A Synthesis System For Bus-Based Wavefront Array Architectures. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis system, bus-based wavefront array architectures, datapath synthesis system, reconfigurable datapath architecture, internal data bus, automatic mapping, datapath units, high speed datapaths, parallel architectures, rapid prototyping, reconfigurable architectures, software prototyping, fine grained parallelism, data manipulations
87Terry Tao Ye, Samit Chaudhuri, F. Huang, Hamid Savoj, Giovanni De Micheli Physical synthesis for ASIC datapath circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
79Mehrdad Reshadi, Daniel Gajski A cycle-accurate compilation algorithm for custom pipelined datapaths. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NISC, cycle-accurate compiler, scheduling
78Michalis D. Galanis, Gregory Dimitroulakos, Spyros Tragoudas, Costas E. Goutis Speedups in embedded systems with a high-performance coprocessor datapath. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coprocessor datapath, synthesis, kernels, Performance improvements, design flow, chaining
78Andy Gean Ye, Jonathan Rose Using bus-based connections to improve field-programmable gate array density for implementing datapath circuits. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF datapath regularity, reconfigurable fabric, FPGA architecture, routing architecture, area efficiency
70Sabyasachi Das, Sunil P. Khatri An efficient and regular routing methodology for datapath designsusing net regularity extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
70Amit Chowdhary, Sudhakar Kale, Phani K. Saripella, Naresh Sehgal, Rajesh K. Gupta 0001 Extraction of functional regularity in datapath circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
69Saraju P. Mohanty, N. Ranganathan Energy-efficient datapath scheduling using multiple voltages and dynamic clocking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic frequency clocking, low-power datapath synthesis, multiple voltage scheduling, time-constrained scheduling, High-level synthesis, resource-constrained scheduling
69Mark C. Johnson, Kaushik Roy 0001 Datapath scheduling with multiple supply voltages and level converters. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF datapath scheduling, level conversion, scheduling, high-level synthesis, low power design, DSP, power optimization, multiple voltage
69Zhining Huang, Sharad Malik, Nahri Moreano, Guido Araujo The design of dynamically reconfigurable datapath coprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coarse-grain reconfigurable fabric, reconfigurable datapath, Loop pipelining, interconnection design, datapath synthesis
61Martin Thuresson, Magnus Själander, Magnus Björk, Lars J. Svensson, Per Larsson-Edefors, Per Stenström FlexCore: Utilizing Exposed Datapath Control for Efficient Computing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Reconfigurable, Computer architecture, Interconnect, Flexible
61Martin Thuresson, Magnus Själander, Magnus Björk, Lars J. Svensson, Per Larsson-Edefors, Per Stenström FlexCore: Utilizing Exposed Datapath Control for Efficient Computing. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Andy Gean Ye, Jonathan Rose Using Bus-Based Connections to Improve Field-Programmable Gate-Array Density for Implementing Datapath Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
61Gilles Pokam, Olivier Rochecouste, André Seznec, François Bodin Speculative software management of datapath-width for energy optimization. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF narrow-width regions, compiler, reconfigurable computing, speculative execution, energy management, clock-gating
61Guido Araujo, Sharad Malik, Zhining Huang, Nahri Moreano Datapath Merging and Interconnection Sharing for Reconfigurable Architectures. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high level and architectural synthesis, reconfigurable computing
61Dmitry V. Ponomarev, Gurhan Kucuk, Kanad Ghose Dynamic Resizing of Superscalar Datapath Components for Energy Efficiency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy-efficient datapath, Superscalar processor, power reduction, dynamic instruction scheduling
61Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Design for Self-Checking and Self-Timed Datapath. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF asynchronous datapath, differential cascode voltage switch logic, Self-checking, dynamic circuits
61Yiorgos Makris, Jamison Collins, Alex Orailoglu Fast Hierarchical Test Path Construction for Circuits with DFT-Free Controller-Datapath Interface. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF controller-datapath circuit, hierarchical test path, influence tables, transparency
61Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun A Totally Self-Checking Dynamic Asynchronous Datapath. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Totally self-checking asynchronous datapath, differential cascade voltage switch logic, divider
53Bita Gorjiara, Daniel D. Gajski Custom Processor Design Using NISC: A Case-Study on DCT algorithm. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Mehrdad Reshadi, Bita Gorjiara, Daniel D. Gajski Utilizing Horizontal and Vertical Parallelism with a No-Instruction-Set Compiler for Custom Datapaths. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Jennifer Y.-L. Lo, Wu-An Kuo, Allen C.-H. Wu, TingTing Hwang A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Mahadevamurty Nemani, Vivek Tiwari Macro-driven circuit design methodology for high-performance datapaths. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
53Steve C.-Y. Huang, Wayne H. Wolf Unifiable scheduling and allocation for minimizing system cycle time. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
52Magnus Själander, Per Larsson-Edefors, Magnus Björk A Flexible Datapath Interconnect for Embedded Applications. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Brian G. VanBuren, Muhammad Shaaban MicroTiger: a graphical microcode simulator with a reconfigurable datapath. Search on Bibsonomy SIGCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulator, visualization, architecture, microcode
52Nahri Moreano, Edson Borin, Cid C. de Souza, Guido Araujo Efficient datapath merging for partially reconfigurable architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Marcos R. Boschetti, Ivan Saraiva Silva, Sergio Bampi A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Maciej J. Ciesielski, Serkan Askar, Samuel Levitin Analytical approach to layout generation of datapath cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Leilei Song, Keshab K. Parhi, Ichiro Kuroda, Takao Nishitani Hardware/software codesign of finite field datapath for low-energy Reed-Solomon codecs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
52Kyumyung Choi, Steven P. Levitan A flexible datapath allocation method for architectural synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF allocation and binding, high-level synthesis
52Samuel Norman Hamilton, Alex Orailoglu, Andre Hertwig Self Recovering Controller and Datapath Codesign. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52István Erényi, István Vassányi, T. Nemes, É. Nikodemusz, Z. Katona FPGA-based Automated Datapath Design. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
52Nobu Matsumoto, Yoko Watanabe, Kimiyoshi Usami, Yukio Sugeno, Hiroshi Hatada, Shojiro Mori Datapath Generator Based on Gate-Level Symbolic Layout. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
52Eriko Nurvitadhi, James C. Hoe, Shih-Lien Lu, Timothy Kam Automatic multithreaded pipeline synthesis from transactional datapath specifications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF automatic pipelining, datapath specification, design exploration of x86 processor pipelines, multithreading, hardware synthesis
52Süleyman Sirri Demirsoy, Martin Langhammer Cholesky decomposition using fused datapath synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cholesky, fused datapath synthesis, fpga, floating-point
52Koji Ohashi, Mineo Kaneko Extended register-sharing in the synthesis of dual-rail two-phase asynchronous datapath. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-level synthesis, asynchronous circuit, datapath, register binding
52Marco Lanuzza, Martin Margala, Pasquale Corsonello Cost-effective low-power processor-in-memory-based reconfigurable datapath for multimedia applications. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reconfigurable computing, datapath, processor-in-memory
52Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Simultaneous peak and average power minimization during datapath scheduling for DSP processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF average power, datapath scheduling, dynamic frequency clocking, high-level synthesis, peak power, multiple voltages
52Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF energy-efficient datapath, superscalar processor, power reduction, dynamic instruction scheduling
52Yiorgos Makris, Jamison Collins, Alex Orailoglu Fast hierarchical test path construction for DFT-free controller-datapath circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fast hierarchical test path construction, DFT-free controller-datapath circuits, transparency based scheme, locally generated vectors, global design test, influence tables, valid control state sequences, module testing, fault coverage levels, vector counts, logic testing, test generation, automatic test pattern generation, ATPG, computational cost reduction
52Hussain Al-Asaad, John P. Hayes, Brian T. Murray Scalable Test Generators for High-Speed Datapath Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF datapath circuits, scalability, built-in self-test, test generation, on-line testing, carry lookahead
52Andrew Wolfe, Jason Fritts, Santanu Dutta, Edil S. T. Fernandes Datapath Design for a VLIW Video Signal Processor. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF datapath design, VLIW video signal processor, very long instruction word, high parallelism, high-level language programmability, high-bandwidth interconnect, high-connectivity register files, parameterizable versions, VLSI, video signal processing, VLIW architectures, compiler design
44Timothy J. Callahan, Philip Chong, André DeHon, John Wawrzynek Fast Module Mapping and Placement for Datapaths in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi ILP models for simultaneous energy and transient power minimization during behavioral synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF average power, cycle difference power, datapath scheduling, dynamic frequency clocking, multicycling, multiple supply voltages, peak power differential, Peak power
43Subi Arumugam, Alin Dobra, Christopher M. Jermaine, Niketan Pansare, Luis Leopoldo Perez The DataPath system: a data-centric analytic processing engine for large data warehouses. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF algorithms
43Martin Langhammer Floating point datapath synthesis for FPGAs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Peter A. Milder, Franz Franchetti, James C. Hoe, Markus Püschel Formal datapath representation and manipulation for implementing DSP transforms. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-level synthesis, streaming, discrete Fourier transform, linear transform
43Mazen A. R. Saghir, Mohamad El-Majzoub, Patrick Akl Customizing the Datapath and ISA of Soft VLIW Processors. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Nilanjan Banerjee, Arijit Raychowdhury, Kaushik Roy 0001, Swarup Bhunia, Hamid Mahmoodi Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Petros Oikonomakos, Mark Zwolinski On the Design of Self-Checking Controllers with Datapath Interactions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault tolerance, Reliability, testing, automatic synthesis, error-checking, redundant design
43Andrea Lodi 0002, Luca Ciccarelli, Claudio Mucci, Roberto Giansante, Andrea Cappelli, Mario Toma An Embedded Reconfigurable Datapath for SoC. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Nilanjan Banerjee, Arijit Raychowdhury, Swarup Bhunia, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Koji Ohashi, Mineo Kaneko Statistical schedule length analysis in asynchronous datapath synthesis. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Yiorgos Makris, Ismet Bayraktaroglu, Alex Orailoglu Enhancing reliability of RTL controller-datapath circuits via Invariant-based concurrent test. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Jing-Ling Yang, Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun A high-efficiency strongly self-checking asynchronous datapath. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Fang Fang, Jianwen Zhu Automatic process migration of datapath hard IP libraries. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Ju-Ho Sohn, Ramchan Woo, Hoi-Jun Yoo A programmable vertex shader with fixed-point SIMD datapath for low power wireless applications. Search on Bibsonomy Graphics Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Sunwoong Yang, MoonJoon Kim, JaeHeung Park, Hoon Chang A Study on Methodology for Enhancing Reliability of Datapath. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Katarzyna Leijten-Nowak, Jef L. van Meerbergen An FPGA architecture with enhanced datapath functionality. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adder inverting property, application-domain tuning, logic block architectures, FPGAs, DSP, symmetry
43Jürgen Becker 0001, Alexander Thomas, Maik Scheer Efficient Processor Instruction Set Extension by Asynchronous Reconfigurable Datapath Integration. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Mineo Kaneko, Kazuaki Oshio Fault tolerant datapath based on algorithm redundancy and vote-writeback mechanism. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Alex Talpasanu, G. Milford, K. S. A. Miles, Jeffrey A. Davis Computer Educational Datapath (CED): Basic Computer Design for K-12 Education. Search on Bibsonomy ITCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Nagisa Ishiura, Tatsuo Watanabe Datapath oriented codesign method of application specific DSPs using retargetable compiler. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Yiorgos Makris, Ismet Bayraktaroglu, Alex Orailoglu Invariance-Based On-Line Test for RTL Controller-Datapath Circuits. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Santanu Dutta, Wayne H. Wolf A circuit-driven design methodology for video signal-processing datapath elements. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Joan Carletta, Mehrdad Nourani, Christos A. Papachristou Synthesis of Controllers for Full Testability of Integrated Datapath-Controller Pairs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Leilei Song, Keshab K. Parhi Low-energy software Reed-Solomon codecs using specialized finite field datapath and division-free Berlekamp-Massey algorithm. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Gila Kamhi, Osnat Weissberg, Limor Fix Automatic Datapath Extraction for Efficient Usage of HDD. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
43Steve C.-Y. Huang, Wayne H. Wolf Performance-driven synthesis in controller-datapath systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Tom Marshburn, Ivy Lui, Rick Brown, Dan Cheung, Gary Lum, Peter Cheng DATAPATH: a CMOS data path silicon assembler. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
43Tay-Jyi Lin, Pi-Chen Hsiao, Chi-Hung Lin, Shu-Chang Kuo, Chou-Kun Lin, Yu-Ting Kuo, Chih-Wei Liu, Yuan-Hua Chu Collaborative voltage scaling with online STA and variable-latency datapath. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF collaborative voltage scaling, online STA, variable-latency datapath, adaptive voltage scaling
43Omid Sarbishei, Bijan Alizadeh, Masahiro Fujita Polynomial datapath optimization using partitioning and compensation heuristics. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modular HED, polynomial datapath, high-level synthesis
43Steven J. E. Wilton, Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Bradley R. Quinton A synthesizable datapath-oriented embedded FPGA fabric. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded block, field programmable gate array, system-on-chip, synthesis, integrated circuit, datapath
35Takeshi Sugawara 0001, Naofumi Homma, Takafumi Aoki, Akashi Satoh Compact ASIC Architectures for the 512-Bit Hash Function Whirlpool. Search on Bibsonomy WISA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hash function, Hardware architecture, Whirlpool, Cryptographic hardware
35Sabyasachi Das, Sunil P. Khatri A Merged Synthesis Technique for Fast Arithmetic Blocks Involving Sum-of-Products and Shifters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Loganathan Lingappan, Vijay Gangaram, Niraj K. Jha Fast Enhancement of Validation Test Sets to Improve Stuck-at Fault Coverage for RTL circuits. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35William B. Toms, David A. Edwards, Andrew Bardsley Synthesising Heterogeneously Encoded Systems. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Anand Raghunathan, Sujit Dey, Niraj K. Jha High-level macro-modeling and estimation techniques for switching activity and power consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye, David Duarte Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, optimizations, energy models, Energy estimation
35Chung-Yang Huang, Kwang-Ting Cheng Using word-level ATPG and modular arithmetic constraint-solvingtechniques for assertion property checking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Integrated test of interacting controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF built-in self-test, register transfer level, synthesis-for-testability
35Chung-Yang Huang, Kwang-Ting Cheng Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35David Knapp An Interactive Tool for Register-level Structure Optimization. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
35Markus Püschel, Peter A. Milder, James C. Hoe Permuting streaming data using RAMs. Search on Bibsonomy J. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data reordering, linear bit mapping, streaming datapath, stride permutation, Permutation, switch, RAM, connection network, matrix transposition
35Yee Jern Chong, Sri Parameswaran Rapid application specific floating-point unit generation with bit-alignment. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bit-alignment, datapath merging, floating-point
35Ki-Bog Kim, Chi-Ho Lin An Optimal ILP Model for Delay Time to Minimize Peak Power and Area. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF B&B, scheduling, Pipelined, ILP, area, peak-power, datapath
34Steven J. E. Wilton, Chun Hok Ho, Bradley R. Quinton, Philip Heng Wai Leong, Wayne Luk A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable gate array, system-on-chip, integrated circuit, silicon debug
34Laura Frigerio, Fabio Salice A performance-oriented hardware/software partitioning for datapath applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware/software systems, performance oriented patitioning
34Steffen Köhler, Jan Schirok, Jens Braunes, Rainer G. Spallek Efficiency of Dynamic Reconfigurable Datapath Extensions -- A Case Study. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Rajaraman Ramanarayanan, Sanu Mathew, Vasantha Erraguntla, Ram Krishnamurthy 0001, Shay Gueron A 2.1GHz 6.5mW 64-bit Unified PopCount/BitScan Datapath Unit for 65nm High-Performance Microprocessor Execution Cores. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Vijay Sundaresan, Ranga Vemuri A Novel Approach to Performance-Oriented Datapath Allocation and Floorplanning. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Akira Mochizuki, Takeshi Kitamura, Hirokatsu Shirahama, Takahiro Hanyu Design of a Microprocessor Datapath Using Four-Valued Differential-Pair Circuits. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Kaijie Wu 0001, Ramesh Karri Fault secure datapath synthesis using hybrid time and hardware redundancy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Jiang Chau Wang, Paulo Sérgio Cardoso, Jose Artur Quilici González, Marius Strum, Ricardo Pires Datapath BIST Insertion Using Pre-Characterized Area and Testability Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test library, RTL architecture, pre-computed testability, self-test
34Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Peak Power Minimization Through Datapath Scheduling. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Yee William Li, George Patounakis, Anup P. Jose, Kenneth L. Shepard, Steven M. Nowick Asynchronous Datapath with Software-Controlled On-Chip Adaptive Voltage Scaling for Multirate Signal Processing Application. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Kaijie Wu 0001, Ramesh Karri Register Transfer Level Approach to Hybrid Time and Hardware Redundancy Based Fault Secure Datapath Synthesis. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Saraju P. Mohanty, N. Ranganathan, Vamsi Krishna Datapath Scheduling using Dynamic Frequency Clocking. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1008 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license