|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 995 occurrences of 746 keywords
|
|
|
Results
Found 3427 publication records. Showing 3427 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
136 | Mikhail Popovich, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin |
Efficient placement of distributed on-chip decoupling capacitors in nanoscale ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 811-816, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
power distribution grids, power noise, decoupling capacitors, power distribution systems |
111 | Mikhail Popovich, Eby G. Friedman, Michael Sotman, Avinoam Kolodny, Radu M. Secareanu |
Maximum effective distance of on-chip decoupling capacitors in power distribution grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006, pp. 173-179, 2006, ACM, 1-59593-347-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
power distribution grids, decoupling capacitors, power distribution systems |
86 | Mikhail Popovich, Michael Sotman, Avinoam Kolodny, Eby G. Friedman |
Effective Radii of On-Chip Decoupling Capacitors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(7), pp. 894-907, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
86 | Hiroshi Yamamoto, Jeffrey A. Davis |
Decreased Effectiveness of On-Chip Decoupling Capacitance in High-Frequency Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(6), pp. 649-659, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
78 | Xingqiao Liu, Jianqun Hu, Shaoqing Teng, Liang Zhao, Guohai Liu |
The design of three-motor intelligent synchronous decoupling control system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GEC Summit ![In: Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation, GEC Summit 2009, Shanghai, China, June 12-14, 2009, pp. 375-380, 2009, ACM, 978-1-60558-326-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
neuron decoupling, synchronous control system, BP neural network, speed, tension, decoupling control |
76 | Henrik Bærbak Christensen, Hebrick Røn |
A Case Study of Framework Design for Horizontal Reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TOOLS (37) ![In: TOOLS Pacific 2000: 37th International Conference on Technology of Object-Oriented Languages and Systems, Sydney, Australia, November 2000, pp. 278-289, 2000, IEEE Computer Society, 0-7695-0918-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
object-oriented framework design, horizontal reuse, complex search expressions, data domains, business domain decoupling, framework reuse, code-level decoupling solutions, noncode-level decoupling techniques, technical mismatch problems, solution template, design patterns, case study, software reusability, object-oriented methods |
70 | Vassilios D. Tourassis, Marcelo H. Ang |
Task decoupling in robot manipulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 14(3), pp. 283-302, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
task decoupling, robot motion, robot tasks, robot position and orientation, Inverse kinematics, singularities |
61 | Qi Wu 0006, Jian-Qiang Lu, Kenneth Rose, Tong Zhang 0002 |
Efficient implementation of decoupling capacitors in 3D processor-dram integrated computing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 245-250, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
three-dimentional integration, dram, decoupling capacitor |
61 | Ahmed M. El-Garhy, M. E. El-Shimy |
Development of decoupling scheme for high order MIMO process based on PSO technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Intell. ![In: Appl. Intell. 26(3), pp. 217-229, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Multiple input multiple output (MIMO) process, Relative gain array (RGA), Decoupling compensation matrix, Particle swarm optimization |
61 | Jun Chen 0008, Lei He 0001 |
Noise driven in-package decoupling capacitor optimization for power integrity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 94-101, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
IC package, modeling, integrity, noise, power, resonance, decoupling capacitor, power distribution system |
61 | Song Guo, Hoi Lee |
A low-power active substrate-noise decoupling circuit with feedforward compensation for mixed-signal SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006, pp. 322-325, 2006, ACM, 1-59593-462-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
active substrate noise decoupling, feedforward frequency compensation, substrate noise suppression, system-on-a-chip |
61 | Hanan Samet |
Decoupling partitioning and grouping: Overcoming shortcomings of spatial indexing with bucketing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 29(4), pp. 789-830, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
BV-trees, PK-trees, space decomposition, R-trees, decoupling, Spatial indexing, object hierarchies |
61 | Jaehyuk Huh 0001, Jichuan Chang, Doug Burger, Gurindar S. Sohi |
Coherence decoupling: making use of incoherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 97-106, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
coherence decoupling, coherence misses, speculative cache lookup, false sharing |
61 | Joan-Manuel Parcerisa, Antonio González 0001 |
Improving Latency Tolerance of Multithreading through Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(10), pp. 1084-1094, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity |
61 | Ting-Li Chien, Chung-Cheng Chen, Yi-Chieh Huang, Wen-Jiun Lin |
Stability and Almost Disturbance Decoupling Analysis of Nonlinear System Subject to Feedback Linearization and Feedforward Neural Network Controller. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks ![In: IEEE Trans. Neural Networks 19(7), pp. 1220-1230, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
61 | Martin Rötteler, Pawel Wocjan |
Equivalence of Decoupling Schemes and Orthogonal Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 52(9), pp. 4171-4181, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
53 | Yi Tang, Runhua Wang |
Decoupling control for nonlinear coupling systems based on CMAC & PID. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE ICCI ![In: Proceedings of the 8th IEEE International Conference on Cognitive Informatics, ICCI 2009, June 15-17, 2009, Hong Kong, China, pp. 302-305, 2009, IEEE Computer Society, 978-1-4244-4642-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
53 | Mikhail Popovich, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin |
Efficient Distributed On-Chip Decoupling Capacitors for Nanoscale ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(12), pp. 1717-1721, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
53 | Mikhail Popovich, Eby G. Friedman |
Nanoscale on-chip decoupling capacitors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: 21st Annual IEEE International SoC Conference, SoCC 2008, September 17-20, 2008, Radisson Hotel, Newport Beach, CA, USA, Proceedings, pp. 51-54, 2008, IEEE, 978-1-4244-2596-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
53 | Jun Chen 0008, Lei He 0001 |
Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4), pp. 734-738, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Michael Sotman, Avinoam Kolodny, Mikhail Popovich, Eby G. Friedman |
On-die decoupling capacitance: frequency domain analysis of activity radius. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
53 | Christopher Batten, Ronny Krashinsky, Steve Gerding, Krste Asanovic |
Cache Refill/Access Decoupling for Vector Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 331-342, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
53 | Peter L. Bird, Alasdair Rawsthorne, Nigel P. Topham |
The Effectiveness of Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 7th international conference on Supercomputing, ICS 1993, Tokyo, Japan, July 20-22, 1993, pp. 47-56, 1993, ACM, 0-89791-600-X. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
45 | Xin Wang 0012, Hui Yang 0005, Shaoyuan Li, Wenxin Liu 0001, Li Liu 0007, David A. Cartes |
A Nonlinear Hierarchical Multiple Models Neural Network Decoupling Controller. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (2) ![In: Advances in Neural Networks - ISNN 2008, 5th International Symposium on Neural Networks, ISNN 2008, Beijing, China, September 24-28, 2008, Proceedings, Part II, pp. 119-127, 2008, Springer, 978-3-540-87733-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
neural network, hierarchical, decoupling, Multiple models |
45 | Min Zhao 0001, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu |
A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 217-222, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
sequence of linear programming, macromodeling, budgeting, decoupling capacitance |
45 | Li Yang, J. S. Yuan |
A decoupling technique for CMOS strong-coupled structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003, pp. 128-131, 2003, ACM, 1-58113-677-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
fast-timing simulation, gate modeling, strong coupled components, waveform relaxation, decoupling, look-up table, feedback loop, hysteresis |
45 | Jinseong Choi, Sungjun Chun, Nanju Na, Madhavan Swaminathan, Larry D. Smith |
A Methodology for the Placement and Optimization of Decoupling Capacitors for Gigahertz Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 156-161, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Power, Decoupling, Package, Capacitor, Board |
44 | Aida Todri, Malgorzata Marek-Sadowska, Francois Maire, Christophe Matheron |
A study of decoupling capacitor effectiveness in power and ground grid networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 653-658, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Mikhail Popovich, Eby G. Friedman |
Decoupling capacitors for multi-voltage power distribution systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(3), pp. 217-228, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Heeseon Hwang, Kevin M. Lynch, Youngil Youm |
Locomotion via Impact Switching between Decoupling Vector Fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 5243-5248, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Pramod Ramarao, Akhilesh Tyagi |
An Integrated Partitioning and Scheduling Based Branch Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings, pp. 252-268, 2005, Springer, 3-540-29643-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
44 | Mikhail Popovich, Eby G. Friedman |
Noise coupling in multi-voltage power distribution systems with decoupling capacitors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 620-623, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
44 | Graham P. Jones, Nigel P. Topham |
A Limitation Study into Access Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 1102-1111, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
42 | Rashid Farivar, Simon Kristiansson, Fredrik Ingvarson, Kjell O. Jeppson |
Evaluation of using active circuitry for substrate noise suppression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 449-452, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
active noise decoupling, substrate modeling, substrate coupling |
42 | Yongyi He, Shuai Guo, Qihong Zhou |
The Research of Fuzzy PID Control Strategy Based on Neural Network in the Tension System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PROLAMAT ![In: Knowledge Enterprise: Intelligent Strategies in Product Design, Manufacturing, and Management, Proceedings of PROLAMAT 2006, IFIP TC5 International Conference, June 15-17, 2006, Shanghai, China, pp. 550-556, 2006, Springer, 0-387-34402-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Tension System, Neural Network, Decoupling Control |
42 | Atsushi Muramatsu, Masanori Hashimoto, Hidetoshi Onodera |
Effects of on-chip inductance on power distribution grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 63-69, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
on-chip inductance, power supply noise, power distribution network, decoupling capacitance |
42 | Michael D. Powell, T. N. Vijaykumar |
Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 223-228, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
a priori current ramping, pipeline muffling, leakage, decoupling capacitors, inductive noise |
42 | Roger Espasa, Mateo Valero |
A Simulation Study of Decoupled Vector Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 14(2), pp. 124-152, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
instruction-level parallelism, decoupling, memory latency, vector architectures |
42 | Edward K. B. Lee, Simon Haykin 0001 |
Parallel Implementation of the Extended Square-Root Covariance Filter for Tracking Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(4), pp. 446-457, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
extended square-root covariance filter, tracking Kalman filter, computationalrequirements, decoupling technique, Kalman gain, parallelism, tracking, Kalman filters, parallelalgorithms |
37 | Ivana Palunko, Stjepan Bogdan |
Small Helicopter Control Design Based on Model Reduction and Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 54(1-3), pp. 201-228, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Small scale helicopter, Multivariable control, Model reduction, Decoupling |
37 | Xin Wang 0012, Hui Yang 0005, Yihui Zheng |
Multivariable direct adaptive decoupling controller using multiple models and a case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 52(7), pp. 1165-1176, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
non-minimum phase, direct adaptive, decoupling, multivariable, multiple models |
37 | Rajesh Sankaran, Brygg Ullmer, Jagannathan Ramanujam, Karun Kallakuri, Srikanth Jandhyala, Cornelius Toole, Christopher Laan |
Decoupling interaction hardware design using libraries of reusable electronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TEI ![In: Proceedings of the 3rd International Conference on Tangible and Embedded Interaction 2009, Cambridge, UK, February 16-18, 2009, pp. 331-337, 2009, ACM, 978-1-60558-493-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
blades and tiles, decoupling TUI design, hardware toolkit, reusable hardware, modularity |
37 | Rodrigo Leonard, Roger A. Dougal |
Decoupling of natural systems in multi-rate parallel simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCSC ![In: Proceedings of the 2007 Summer Computer Simulation Conference, SCSC 2007, San Diego, California, USA, July 16-19, 2007, pp. 181-185, 2007, Simulation Councils, Inc., 1-56555-316-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
distributed, parallel simulation, decoupling, multi-rate |
37 | Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan |
Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 751-756, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
programming method, decoupling capacitor budgeting algorithm, random walk approach, decap budgeting algorithm, power ground network design, isolation property, decap optimization process, leakage currents optimization algorithm, refined leakage model, heuristic method |
37 | Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim |
Decoupling capacitor planning and sizing for noise and leakage reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 395-400, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction |
37 | Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif |
An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002, pp. 68-73, 2002, ACM, 1-58113-460-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise |
37 | Wolfgang M. Grimm, Paul Martin Frank |
Robust pole assignment for incomplete nonlinear decoupling applied to robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 3(3), pp. 259-289, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
Nonlinear decoupling, elastic robots, pole assignment, stability, robustness, robot control, nonlinear systems, functional analysis, feedback linearization |
36 | Masoud Karimi-Ghartemani, Mohammad Mobed |
A State Feedback Strategy for Decoupling a Class of Multivariable Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONS ![In: The Third International Conference on Systems, ICONS 2008, April 13-18, 2008, Cancun, Mexico, pp. 29-34, 2008, IEEE Computer Society, 978-0-7695-3105-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Bao Liu, Sheldon X.-D. Tan |
Minimum Decoupling Capacitor Insertion in VLSI Power/Ground Supply Networks by Semidefinite and Linear Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(11), pp. 1284-1287, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Yue Fu, Tianyou Chai |
Neural-Network-Based Nonlinear Adaptive Dynamical Decoupling Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks ![In: IEEE Trans. Neural Networks 18(3), pp. 921-925, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Mark M. Budnik, Kaushik Roy 0001 |
A Power Delivery and Decoupling Network Minimizing Ohmic Loss and Supply Voltage Variation in Silicon Nanoscale Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(12), pp. 1336-1346, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Florian Forster |
Cost and benefit of rigorous decoupling with context-specific interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 4th International Symposium on Principles and Practice of Programming in Java, PPPJ 2006, Mannheim, Germany, August 30 - September 1, 2006, pp. 23-30, 2006, ACM, 3-939352-05-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Java-specific metrics, software engineering with Java, the Java language, tools for Java programming, optimization |
36 | Sani R. Nassif, Kanak Agarwal, Emrah Acar |
Methods for estimating decoupling capacitance of nonswitching circuit blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Xiongfei Meng, Resve A. Saleh, Karim Arabi |
Novel Decoupling Capacitor Designs for sub- 90nm CMOS Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 266-271, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Mingli Ding, Dongxue Dai, Qi Wang |
Study of Dynamic Decoupling Method for Multi-axis Sensor Based on Niche Genetic Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Conference on Artificial Intelligence ![In: AI 2006: Advances in Artificial Intelligence, 19th Australian Joint Conference on Artificial Intelligence, Hobart, Australia, December 4-8, 2006, Proceedings, pp. 780-789, 2006, Springer, 3-540-49787-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Xin Wang 0012, Hui Yang 0005 |
Hierarchical Multiple Models Neural Network Decoupling Controller for a Nonlinear System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONIP (3) ![In: Neural Information Processing, 13th International Conference, ICONIP 2006, Hong Kong, China, October 3-6, 2006, Proceedings, Part III, pp. 636-644, 2006, Springer, 3-540-46484-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Ioannis T. Kassios |
Decoupling in Object Orientation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FM ![In: FM 2005: Formal Methods, International Symposium of Formal Methods Europe, Newcastle, UK, July 18-22, 2005, Proceedings, pp. 43-58, 2005, Springer, 3-540-27882-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
specification and refinement, object orientation |
36 | Mikhail Popovich, Eby G. Friedman |
Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 334-339, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Salvador Trujillo, Iñaki Paz, Oscar Díaz |
Enhancing Decoupling in Portlet Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWE ![In: Web Engineering - 4th International Conference, ICWE 2004, Munich, Germany, July 26-30, 2004, Proceedings, pp. 587-588, 2004, Springer, 3-540-22511-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Mondira Deb Pant, Pankaj Pant, D. Scott Wills |
On-chip decoupling capacitor optimization using architectural level prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 10(3), pp. 319-326, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
36 | Sudhakar Bobba, Ibrahim N. Hajj |
Input vector generation for maximum intrinsic decoupling capacitance of VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 195-198, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
36 | Joan-Manuel Parcerisa, Antonio González 0001 |
The Synergy of Multithreading and Access/Execute Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 59-63, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
34 | Dimitar P. Filev |
Some new results in state space decoupling of multivariable systems. II. Extensions to decoupling of systems with D≠0 and output feedback decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Kybernetika ![In: Kybernetika 18(4), pp. 330-344, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP BibTeX RDF |
|
34 | Niranjan Chakravarthy, Shivkumar Sabesan, Kostas Tsakalis, Leonidas D. Iasemidis |
Controlling epileptic seizures in a neural mass model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comb. Optim. ![In: J. Comb. Optim. 17(1), pp. 98-116, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Epileptic seizures modeling, Coupled neural populations, Internal feedback, Feedback decoupling control |
34 | Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu |
Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 255-266, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bandwidth decoupling, decoupled DIMM, DRAM memories |
34 | Hsien-Te Chen, Chieh-Chun Chang, TingTing Hwang |
New spare cell design for IR drop minimization in Engineering Change Order. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 402-407, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
spare cell, IR drop, decoupling capacitor, ECO |
34 | Nathan Kalyanasundharam, Nital Patwa |
Simultaneous Switching Noise Considerations in the Design of a High Speed, Multiported TLB of a Server-Class Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 118-123, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
multiported, supply inductance, TLB, simultaneous switching noise, decoupling capacitance |
34 | Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra |
Dynamic Branch Decoupled Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 442-, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
dynamic, decoupling, branches |
34 | Stefano Soatto, Pietro Perona |
Reducing "Structure From Motion": A General Framework for Dynamic Vision Part 1: Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 20(9), pp. 933-942, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Visual motion estimation, motion decoupling, output stabilization, epipolar geometry, compensation, model reduction, fixation, parallax |
34 | Stefano Soatto, Pietro Perona |
Reducing "structure from motion". ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: 1996 Conference on Computer Vision and Pattern Recognition (CVPR '96), June 18-20, 1996 San Francisco, CA, USA, pp. 825-832, 1996, IEEE Computer Society, 0-8186-7258-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
structure from motion reduction, dynamical system reduction, implicit decoupling techniques, common framework, motion estimation, image sequences, recursive estimation, recursive estimation, geometric properties, monocular image sequences, rigidity constraint |
33 | Geng Liang |
Deaerator Water Level Control Based on Neuron Intelligent Control by Fieldbus Intelligent Control Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNSC ![In: Proceedings of the IEEE International Conference on Networking, Sensing and Control, ICNSC 2008, Hainan, China, 6-8 April 2008, pp. 195-200, 2008, IEEE, 978-1-4244-1685-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Stephan Aier, Robert Winter 0001 |
Virtual Decoupling for IT/Business Alignment - Conceptual Foundations, Architecture Design and Implementation Example. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bus. Inf. Syst. Eng. ![In: Bus. Inf. Syst. Eng. 1(2), pp. 150-163, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
] Integration, IT/business alignment, Decoupling |
28 | Wenjun Gu, Xiaole Bai, Sriram Chellappan, Dong Xuan, Weijia Jia 0001 |
Network Decoupling: A Methodology for Secure Communications in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(12), pp. 1784-1796, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Network Decoupling, Wireless Sensor Networks, Random Key Pre-distribution |
28 | Yutaka Yamauchi, Jack Whalen, Nozomi Ikeya, Erik Vinkhuyzen |
The problem of knowledge decoupling in software development projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 28th International Conference on Software Engineering (ICSE 2006), Shanghai, China, May 20-28, 2006, pp. 877-880, 2006, ACM, 1-59593-375-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
knowledge decoupling, project management, leadership |
28 | Murat R. Becer, Ibrahim N. Hajj |
An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 1st International Symposium on Quality of Electronic Design (ISQED 2000), 20-22 March 2000, San Jose, CA, USA, pp. 51-58, 2000, IEEE Computer Society, 0-7695-0525-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
delay, analytical model, decoupling, Crosstalk noise |
28 | Nicola Pedrocchi, Antonio Visioli, Giacomo Ziliani, Giovanni Legnani |
On the elasticity in the dynamic decoupling of hybrid force/velocity control in the contour tracking task. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2008 IEEE/RSJ International Conference on Intelligent Robots and Systems, September 22-26, 2008, Acropolis Convention Center, Nice, France, pp. 955-960, 2008, IEEE, 978-1-4244-2057-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Krishna Bharath, Ege Engin, Madhavan Swaminathan |
Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 560-565, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-layer finite difference method (M-FDM), signal/power integrity (SI/PI), genetic algorithm (GA) |
28 | Hao Yu 0001, Chunta Chu, Lei He 0001 |
Off-chip Decoupling Capacitor Allocation for Chip Package Co-Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 618-621, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Jianjun Zhang 0003, Weimin Li, Xiaohui Wang, Feng Gao 0011 |
Study on Kinematics Decoupling for Parallel Manipulator with Perpendicular Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 748-753, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Ket Fah Chong, Hon Wai Leong |
An extension of dead end elimination for protein side-chain conformation using merge-decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 195-199, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
protein side chain conformation, algorithm |
28 | Xin Wang 0012, Hui Yang 0005 |
Multiple Models Adaptive Decoupling Controller Using Dimension-By-DimensionTechnology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICIC (1) ![In: First International Conference on Innovative Computing, Information and Control (ICICIC 2006), 30 August - 1 September 2006, Beijing, China, pp. 678-681, 2006, IEEE Computer Society, 0-7695-2616-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Weimin Yang, Dongmei Lv |
On Multivariable Neural Network Decoupling Control System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISDA (3) ![In: Proceedings of the Sixth International Conference on Intelligent Systems Design and Applications (ISDA 2006), October 16-18, 2006, Jinan, China, pp. 156-160, 2006, IEEE Computer Society, 0-7695-2528-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Seongkyun Shin, Yungseon Eo |
Non-Physical Pseudo-Wave-Based Modal Decoupling Technique of Multi- Coupled Co-Planar Transmission Lines with Homogeneous Dielectric Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 278-283, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Bing Chen 0001, Xiaoping Liu 0004 |
Fuzzy approximate disturbance decoupling of MIMO nonlinear systems by backstepping and application to chemical processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 13(6), pp. 832-847, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Stephen Herborn, Tim Hsin-Ting Hu, Roksana Boreli, Aruna Seneviratne |
Secure Identity and Location Decoupling Using Peer-to-Peer Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN (2) ![In: Networking - ICN 2005, 4th International Conference on Networking, ReunionIsland, France, April 17-21, 2005, Proceedings, Part II, pp. 617-624, 2005, Springer, 3-540-25338-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Wei Wang, Gong-You Tang, Shan-Shan Zhou |
Decoupling control and feedback linearization for a class of nonlinear time-delay systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 8th International Conference on Control, Automation, Robotics and Vision, ICARCV 2004, Kunming, China, 6-9 December 2004, Proceedings, pp. 867-871, 2004, IEEE, 0-7803-8653-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Payman Zarkesh-Ha, Ken Doniger, William Loh, Dechang Sun, Rick Stephani, Gordon Priebe |
A Compact Model for Analysis and Design of On-chip Power Network with Decoupling Capacitors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 84-89, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
28 | René Galindo |
Low order robust control and I/O Decoupling for minimum phase linear MIMO systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: Seventh International Conference on Control, Automation, Robotics and Vision, ICARCV 2002, Singapore, 2-5 December 2002, Proceedings, pp. 874-879, 2002, IEEE, 981-04-8364-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
28 | I. Hattori, Atsushi Kamo, Takayuki Watanabe, Hideki Asai |
Optimal placement of decoupling capacitors on PCB using Poynting vectors obtained by FDTD method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 29-32, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
28 | Jun Chen 0008, Lei He 0001 |
A decoupling method for analysis of coupled RLC interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, New York, NY, USA, April 18-19, 2002, pp. 41-46, 2002, ACM, 1-58113-462-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
28 | Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh |
Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 489-, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
28 | Atsushi Kamo, Takayuki Watanabe, Hideki Asai |
Simulation for the optimal placement of decoupling capacitors on printed circuit board. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 727-730, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
28 | Toshinori Sato |
Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 1281-1290, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
28 | Toshinori Sato |
A Simulation Study of Pipelining and Decoupling a Dynamic Instruction Scheduling Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1178-1185, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
instruction reissue, instruction window design, instruction level parallelism, data speculation, dynamic instruction scheduling |
25 | Xueqian Zhao, Yonghe Guo, Zhuo Feng, Shiyan Hu |
Parallel hierarchical cross entropy optimization for on-chip decap budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 843-848, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
parallel computing, cross-entropy, decoupling capacitor |
25 | Vasileios Kontorinis, Amirali Shayan, Dean M. Tullsen, Rakesh Kumar 0002 |
Reducing peak power with a table-driven adaptive processor core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 189-200, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
resource resizing, voltage variation, peak power, adaptive architectures, decoupling capacitance |
25 | Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee |
Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 786-791, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances |
25 | Be Wang, Jingliang Peng, Youngmin Kwak, C.-C. Jay Kuo |
Efficient and Realistic Cumulus Cloud Simulation Based on Similarity Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (1) ![In: Advances in Visual Computing, Third International Symposium, ISVC 2007, Lake Tahoe, NV, USA, November 26-28, 2007, Proceedings, Part I, pp. 781-791, 2007, Springer, 978-3-540-76857-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
similarity approach, cloud simulation, decoupling |
25 | C. N. Verdouw, Adrie J. M. Beulens, D. Bouwmeester, J. H. Trienekens |
Modelling Demand-driven Chain Networks using Multiple CODPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APMS ![In: Lean Business Systems and Beyond: First IFIP TC 5 Advanced Production Management Systems Conference (APMS'2006), Wroclaw, Poland, September 18-20, 2006, pp. 433-442, 2006, Springer, 978-0-387-77248-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Customer Order Decoupling Point (CODP), Demand-driven chain networks, Dairy Paper type Research paper, Case study |
25 | Mikhail Popovich, Eby G. Friedman, Michael Sotman, Avinoam Kolodny |
On-chip power distribution grids with multiple supply voltages for high performance integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005, pp. 2-7, 2005, ACM, 1-59593-057-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
multiple power supply voltages, power distribution grids, decoupling capacitors, power distribution systems |
Displaying result #1 - #100 of 3427 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|