The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for decoupling with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1985 (17) 1986-1989 (16) 1990-1991 (21) 1992 (15) 1993 (18) 1994 (18) 1995 (22) 1996 (24) 1997 (25) 1998 (18) 1999 (51) 2000 (59) 2001 (51) 2002 (67) 2003 (81) 2004 (106) 2005 (112) 2006 (144) 2007 (167) 2008 (131) 2009 (149) 2010 (78) 2011 (71) 2012 (82) 2013 (68) 2014 (95) 2015 (112) 2016 (112) 2017 (131) 2018 (154) 2019 (160) 2020 (151) 2021 (218) 2022 (247) 2023 (338) 2024 (98)
Publication types (Num. hits)
article(1630) incollection(4) inproceedings(1778) phdthesis(15)
Venues (Conferences, Journals, ...)
CoRR(318) IEEE Access(108) IEEE Trans. Ind. Electron.(78) Autom.(65) IEEE Trans. Autom. Control.(65) ACC(60) CDC(54) IECON(48) ICRA(33) IROS(32) Sensors(29) Kybernetika(27) CVPR(25) DAC(23) ECC(23) IEEE Trans. Very Large Scale I...(22) More (+10 of total 1107)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 995 occurrences of 746 keywords

Results
Found 3427 publication records. Showing 3427 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
136Mikhail Popovich, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin Efficient placement of distributed on-chip decoupling capacitors in nanoscale ICs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power distribution grids, power noise, decoupling capacitors, power distribution systems
111Mikhail Popovich, Eby G. Friedman, Michael Sotman, Avinoam Kolodny, Radu M. Secareanu Maximum effective distance of on-chip decoupling capacitors in power distribution grids. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power distribution grids, decoupling capacitors, power distribution systems
86Mikhail Popovich, Michael Sotman, Avinoam Kolodny, Eby G. Friedman Effective Radii of On-Chip Decoupling Capacitors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
86Hiroshi Yamamoto, Jeffrey A. Davis Decreased Effectiveness of On-Chip Decoupling Capacitance in High-Frequency Operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Xingqiao Liu, Jianqun Hu, Shaoqing Teng, Liang Zhao, Guohai Liu The design of three-motor intelligent synchronous decoupling control system. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neuron decoupling, synchronous control system, BP neural network, speed, tension, decoupling control
76Henrik Bærbak Christensen, Hebrick Røn A Case Study of Framework Design for Horizontal Reuse. Search on Bibsonomy TOOLS (37) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF object-oriented framework design, horizontal reuse, complex search expressions, data domains, business domain decoupling, framework reuse, code-level decoupling solutions, noncode-level decoupling techniques, technical mismatch problems, solution template, design patterns, case study, software reusability, object-oriented methods
70Vassilios D. Tourassis, Marcelo H. Ang Task decoupling in robot manipulators. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF task decoupling, robot motion, robot tasks, robot position and orientation, Inverse kinematics, singularities
61Qi Wu 0006, Jian-Qiang Lu, Kenneth Rose, Tong Zhang 0002 Efficient implementation of decoupling capacitors in 3D processor-dram integrated computing systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF three-dimentional integration, dram, decoupling capacitor
61Ahmed M. El-Garhy, M. E. El-Shimy Development of decoupling scheme for high order MIMO process based on PSO technique. Search on Bibsonomy Appl. Intell. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiple input multiple output (MIMO) process, Relative gain array (RGA), Decoupling compensation matrix, Particle swarm optimization
61Jun Chen 0008, Lei He 0001 Noise driven in-package decoupling capacitor optimization for power integrity. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC package, modeling, integrity, noise, power, resonance, decoupling capacitor, power distribution system
61Song Guo, Hoi Lee A low-power active substrate-noise decoupling circuit with feedforward compensation for mixed-signal SoCs. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF active substrate noise decoupling, feedforward frequency compensation, substrate noise suppression, system-on-a-chip
61Hanan Samet Decoupling partitioning and grouping: Overcoming shortcomings of spatial indexing with bucketing. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BV-trees, PK-trees, space decomposition, R-trees, decoupling, Spatial indexing, object hierarchies
61Jaehyuk Huh 0001, Jichuan Chang, Doug Burger, Gurindar S. Sohi Coherence decoupling: making use of incoherence. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coherence decoupling, coherence misses, speculative cache lookup, false sharing
61Joan-Manuel Parcerisa, Antonio González 0001 Improving Latency Tolerance of Multithreading through Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity
61Ting-Li Chien, Chung-Cheng Chen, Yi-Chieh Huang, Wen-Jiun Lin Stability and Almost Disturbance Decoupling Analysis of Nonlinear System Subject to Feedback Linearization and Feedforward Neural Network Controller. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Martin Rötteler, Pawel Wocjan Equivalence of Decoupling Schemes and Orthogonal Arrays. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Yi Tang, Runhua Wang Decoupling control for nonlinear coupling systems based on CMAC & PID. Search on Bibsonomy IEEE ICCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
53Mikhail Popovich, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin Efficient Distributed On-Chip Decoupling Capacitors for Nanoscale ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Mikhail Popovich, Eby G. Friedman Nanoscale on-chip decoupling capacitors. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Jun Chen 0008, Lei He 0001 Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Michael Sotman, Avinoam Kolodny, Mikhail Popovich, Eby G. Friedman On-die decoupling capacitance: frequency domain analysis of activity radius. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Christopher Batten, Ronny Krashinsky, Steve Gerding, Krste Asanovic Cache Refill/Access Decoupling for Vector Machines. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
53Peter L. Bird, Alasdair Rawsthorne, Nigel P. Topham The Effectiveness of Decoupling. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
45Xin Wang 0012, Hui Yang 0005, Shaoyuan Li, Wenxin Liu 0001, Li Liu 0007, David A. Cartes A Nonlinear Hierarchical Multiple Models Neural Network Decoupling Controller. Search on Bibsonomy ISNN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF neural network, hierarchical, decoupling, Multiple models
45Min Zhao 0001, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sequence of linear programming, macromodeling, budgeting, decoupling capacitance
45Li Yang, J. S. Yuan A decoupling technique for CMOS strong-coupled structures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fast-timing simulation, gate modeling, strong coupled components, waveform relaxation, decoupling, look-up table, feedback loop, hysteresis
45Jinseong Choi, Sungjun Chun, Nanju Na, Madhavan Swaminathan, Larry D. Smith A Methodology for the Placement and Optimization of Decoupling Capacitors for Gigahertz Systems. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Power, Decoupling, Package, Capacitor, Board
44Aida Todri, Malgorzata Marek-Sadowska, Francois Maire, Christophe Matheron A study of decoupling capacitor effectiveness in power and ground grid networks. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Mikhail Popovich, Eby G. Friedman Decoupling capacitors for multi-voltage power distribution systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Heeseon Hwang, Kevin M. Lynch, Youngil Youm Locomotion via Impact Switching between Decoupling Vector Fields. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Pramod Ramarao, Akhilesh Tyagi An Integrated Partitioning and Scheduling Based Branch Decoupling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Mikhail Popovich, Eby G. Friedman Noise coupling in multi-voltage power distribution systems with decoupling capacitors. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Graham P. Jones, Nigel P. Topham A Limitation Study into Access Decoupling. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
42Rashid Farivar, Simon Kristiansson, Fredrik Ingvarson, Kjell O. Jeppson Evaluation of using active circuitry for substrate noise suppression. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active noise decoupling, substrate modeling, substrate coupling
42Yongyi He, Shuai Guo, Qihong Zhou The Research of Fuzzy PID Control Strategy Based on Neural Network in the Tension System. Search on Bibsonomy PROLAMAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Tension System, Neural Network, Decoupling Control
42Atsushi Muramatsu, Masanori Hashimoto, Hidetoshi Onodera Effects of on-chip inductance on power distribution grid. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip inductance, power supply noise, power distribution network, decoupling capacitance
42Michael D. Powell, T. N. Vijaykumar Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori current ramping, pipeline muffling, leakage, decoupling capacitors, inductive noise
42Roger Espasa, Mateo Valero A Simulation Study of Decoupled Vector Architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction-level parallelism, decoupling, memory latency, vector architectures
42Edward K. B. Lee, Simon Haykin 0001 Parallel Implementation of the Extended Square-Root Covariance Filter for Tracking Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF extended square-root covariance filter, tracking Kalman filter, computationalrequirements, decoupling technique, Kalman gain, parallelism, tracking, Kalman filters, parallelalgorithms
37Ivana Palunko, Stjepan Bogdan Small Helicopter Control Design Based on Model Reduction and Decoupling. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Small scale helicopter, Multivariable control, Model reduction, Decoupling
37Xin Wang 0012, Hui Yang 0005, Yihui Zheng Multivariable direct adaptive decoupling controller using multiple models and a case study. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-minimum phase, direct adaptive, decoupling, multivariable, multiple models
37Rajesh Sankaran, Brygg Ullmer, Jagannathan Ramanujam, Karun Kallakuri, Srikanth Jandhyala, Cornelius Toole, Christopher Laan Decoupling interaction hardware design using libraries of reusable electronics. Search on Bibsonomy TEI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF blades and tiles, decoupling TUI design, hardware toolkit, reusable hardware, modularity
37Rodrigo Leonard, Roger A. Dougal Decoupling of natural systems in multi-rate parallel simulations. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF distributed, parallel simulation, decoupling, multi-rate
37Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF programming method, decoupling capacitor budgeting algorithm, random walk approach, decap budgeting algorithm, power ground network design, isolation property, decap optimization process, leakage currents optimization algorithm, refined leakage model, heuristic method
37Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling capacitor planning and sizing for noise and leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction
37Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise
37Wolfgang M. Grimm, Paul Martin Frank Robust pole assignment for incomplete nonlinear decoupling applied to robots. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Nonlinear decoupling, elastic robots, pole assignment, stability, robustness, robot control, nonlinear systems, functional analysis, feedback linearization
36Masoud Karimi-Ghartemani, Mohammad Mobed A State Feedback Strategy for Decoupling a Class of Multivariable Systems. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Bao Liu, Sheldon X.-D. Tan Minimum Decoupling Capacitor Insertion in VLSI Power/Ground Supply Networks by Semidefinite and Linear Programs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Yue Fu, Tianyou Chai Neural-Network-Based Nonlinear Adaptive Dynamical Decoupling Control. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Mark M. Budnik, Kaushik Roy 0001 A Power Delivery and Decoupling Network Minimizing Ohmic Loss and Supply Voltage Variation in Silicon Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Florian Forster Cost and benefit of rigorous decoupling with context-specific interfaces. Search on Bibsonomy PPPJ The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Java-specific metrics, software engineering with Java, the Java language, tools for Java programming, optimization
36Sani R. Nassif, Kanak Agarwal, Emrah Acar Methods for estimating decoupling capacitance of nonswitching circuit blocks. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Xiongfei Meng, Resve A. Saleh, Karim Arabi Novel Decoupling Capacitor Designs for sub- 90nm CMOS Technology. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Mingli Ding, Dongxue Dai, Qi Wang Study of Dynamic Decoupling Method for Multi-axis Sensor Based on Niche Genetic Algorithm. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Xin Wang 0012, Hui Yang 0005 Hierarchical Multiple Models Neural Network Decoupling Controller for a Nonlinear System. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Ioannis T. Kassios Decoupling in Object Orientation. Search on Bibsonomy FM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF specification and refinement, object orientation
36Mikhail Popovich, Eby G. Friedman Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Salvador Trujillo, Iñaki Paz, Oscar Díaz Enhancing Decoupling in Portlet Implementation. Search on Bibsonomy ICWE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Mondira Deb Pant, Pankaj Pant, D. Scott Wills On-chip decoupling capacitor optimization using architectural level prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Sudhakar Bobba, Ibrahim N. Hajj Input vector generation for maximum intrinsic decoupling capacitance of VLSI circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Joan-Manuel Parcerisa, Antonio González 0001 The Synergy of Multithreading and Access/Execute Decoupling. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Dimitar P. Filev Some new results in state space decoupling of multivariable systems. II. Extensions to decoupling of systems with D≠0 and output feedback decoupling. Search on Bibsonomy Kybernetika The full citation details ... 1982 DBLP  BibTeX  RDF
34Niranjan Chakravarthy, Shivkumar Sabesan, Kostas Tsakalis, Leonidas D. Iasemidis Controlling epileptic seizures in a neural mass model. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Epileptic seizures modeling, Coupled neural populations, Internal feedback, Feedback decoupling control
34Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bandwidth decoupling, decoupled DIMM, DRAM memories
34Hsien-Te Chen, Chieh-Chun Chang, TingTing Hwang New spare cell design for IR drop minimization in Engineering Change Order. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cell, IR drop, decoupling capacitor, ECO
34Nathan Kalyanasundharam, Nital Patwa Simultaneous Switching Noise Considerations in the Design of a High Speed, Multiported TLB of a Server-Class Microprocessor. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiported, supply inductance, TLB, simultaneous switching noise, decoupling capacitance
34Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra Dynamic Branch Decoupled Architecture. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF dynamic, decoupling, branches
34Stefano Soatto, Pietro Perona Reducing "Structure From Motion": A General Framework for Dynamic Vision Part 1: Modeling. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Visual motion estimation, motion decoupling, output stabilization, epipolar geometry, compensation, model reduction, fixation, parallax
34Stefano Soatto, Pietro Perona Reducing "structure from motion". Search on Bibsonomy CVPR The full citation details ... 1996 DBLP  DOI  BibTeX  RDF structure from motion reduction, dynamical system reduction, implicit decoupling techniques, common framework, motion estimation, image sequences, recursive estimation, recursive estimation, geometric properties, monocular image sequences, rigidity constraint
33Geng Liang Deaerator Water Level Control Based on Neuron Intelligent Control by Fieldbus Intelligent Control Network. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Stephan Aier, Robert Winter 0001 Virtual Decoupling for IT/Business Alignment - Conceptual Foundations, Architecture Design and Implementation Example. Search on Bibsonomy Bus. Inf. Syst. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ] Integration, IT/business alignment, Decoupling
28Wenjun Gu, Xiaole Bai, Sriram Chellappan, Dong Xuan, Weijia Jia 0001 Network Decoupling: A Methodology for Secure Communications in Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Network Decoupling, Wireless Sensor Networks, Random Key Pre-distribution
28Yutaka Yamauchi, Jack Whalen, Nozomi Ikeya, Erik Vinkhuyzen The problem of knowledge decoupling in software development projects. Search on Bibsonomy ICSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF knowledge decoupling, project management, leadership
28Murat R. Becer, Ibrahim N. Hajj An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay, analytical model, decoupling, Crosstalk noise
28Nicola Pedrocchi, Antonio Visioli, Giacomo Ziliani, Giovanni Legnani On the elasticity in the dynamic decoupling of hybrid force/velocity control in the contour tracking task. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Krishna Bharath, Ege Engin, Madhavan Swaminathan Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-layer finite difference method (M-FDM), signal/power integrity (SI/PI), genetic algorithm (GA)
28Hao Yu 0001, Chunta Chu, Lei He 0001 Off-chip Decoupling Capacitor Allocation for Chip Package Co-Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Jianjun Zhang 0003, Weimin Li, Xiaohui Wang, Feng Gao 0011 Study on Kinematics Decoupling for Parallel Manipulator with Perpendicular Structures. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Ket Fah Chong, Hon Wai Leong An extension of dead end elimination for protein side-chain conformation using merge-decoupling. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF protein side chain conformation, algorithm
28Xin Wang 0012, Hui Yang 0005 Multiple Models Adaptive Decoupling Controller Using Dimension-By-DimensionTechnology. Search on Bibsonomy ICICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Weimin Yang, Dongmei Lv On Multivariable Neural Network Decoupling Control System. Search on Bibsonomy ISDA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Seongkyun Shin, Yungseon Eo Non-Physical Pseudo-Wave-Based Modal Decoupling Technique of Multi- Coupled Co-Planar Transmission Lines with Homogeneous Dielectric Media. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Bing Chen 0001, Xiaoping Liu 0004 Fuzzy approximate disturbance decoupling of MIMO nonlinear systems by backstepping and application to chemical processes. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Stephen Herborn, Tim Hsin-Ting Hu, Roksana Boreli, Aruna Seneviratne Secure Identity and Location Decoupling Using Peer-to-Peer Networks. Search on Bibsonomy ICN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Wei Wang, Gong-You Tang, Shan-Shan Zhou Decoupling control and feedback linearization for a class of nonlinear time-delay systems. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Payman Zarkesh-Ha, Ken Doniger, William Loh, Dechang Sun, Rick Stephani, Gordon Priebe A Compact Model for Analysis and Design of On-chip Power Network with Decoupling Capacitors. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28René Galindo Low order robust control and I/O Decoupling for minimum phase linear MIMO systems. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28I. Hattori, Atsushi Kamo, Takayuki Watanabe, Hideki Asai Optimal placement of decoupling capacitors on PCB using Poynting vectors obtained by FDTD method. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Jun Chen 0008, Lei He 0001 A decoupling method for analysis of coupled RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Atsushi Kamo, Takayuki Watanabe, Hideki Asai Simulation for the optimal placement of decoupling capacitors on printed circuit board. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Toshinori Sato Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Toshinori Sato A Simulation Study of Pipelining and Decoupling a Dynamic Instruction Scheduling Mechanism. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction reissue, instruction window design, instruction level parallelism, data speculation, dynamic instruction scheduling
25Xueqian Zhao, Yonghe Guo, Zhuo Feng, Shiyan Hu Parallel hierarchical cross entropy optimization for on-chip decap budgeting. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel computing, cross-entropy, decoupling capacitor
25Vasileios Kontorinis, Amirali Shayan, Dean M. Tullsen, Rakesh Kumar 0002 Reducing peak power with a table-driven adaptive processor core. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF resource resizing, voltage variation, peak power, adaptive architectures, decoupling capacitance
25Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances
25Be Wang, Jingliang Peng, Youngmin Kwak, C.-C. Jay Kuo Efficient and Realistic Cumulus Cloud Simulation Based on Similarity Approach. Search on Bibsonomy ISVC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF similarity approach, cloud simulation, decoupling
25C. N. Verdouw, Adrie J. M. Beulens, D. Bouwmeester, J. H. Trienekens Modelling Demand-driven Chain Networks using Multiple CODPs. Search on Bibsonomy APMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Customer Order Decoupling Point (CODP), Demand-driven chain networks, Dairy Paper type Research paper, Case study
25Mikhail Popovich, Eby G. Friedman, Michael Sotman, Avinoam Kolodny On-chip power distribution grids with multiple supply voltages for high performance integrated circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple power supply voltages, power distribution grids, decoupling capacitors, power distribution systems
Displaying result #1 - #100 of 3427 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license