The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for demultiplexers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-2005 (15) 2006-2021 (15) 2022-2023 (2)
Publication types (Num. hits)
article(10) inproceedings(22)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5 occurrences of 5 keywords

Results
Found 32 publication records. Showing 32 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Haikun Zhu, Yi Zhu 0002, Chung-Kuan Cheng, David M. Harris An Interconnect-Centric Approach to Cyclic Shifter Design Using Fanout Splitting and Cell Order Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 64 bit, interconnect-centric approach, fanout splitting, cell order optimization, logarithmic cyclic shifter design, demultiplexers, shifting path, nonshifting paths, accumulated wire load, switching probabilities, integer linear programming
72Babak Momeni, Ali Adibi Systematic design of superprism-based photonic crystal demultiplexers. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
72Yeomin Yoon, Seokjoo Shin, Ohju Kwon, Kiseon Kim The Performance and the Computational Complexity of the Digital Demultiplexers. Search on Bibsonomy HSNMC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Emilia Sipos, Lelia Festila, Gabriel Oltean Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC
51Rosa Romero, Orlando Frazão, Filip Floreani, Lin Zhang, Paulo V. S. Marques, Henrique M. Salgado Multiplexers and Demultiplexers Based on Fibre Bragg Gratings and Optical Circulators for DWDM Systems. Search on Bibsonomy HSNMC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Amin Farajianzadeh, Siavash Khorsandi Toward a Differentiated-Service Enabled Parallel Packet Switch. Search on Bibsonomy ISCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Ali Pirmoradi, Firooz Aflatouni Monolithically Integrated Autonomous Demultiplexers with Near Zero Power Consumption for Beyond Tb/s Links. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
30Yue Wu, Zaichen Zhang Design of Free-Space Optical Wavelength-Division Demultiplexers with a Phase-Only SLM. Search on Bibsonomy ICCT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Adriana N. Borodzhieva, Ivanka D. Tsvetkova, Dimitar Dimitrov Technology-Enhanced Active Learning Used for Teaching "Multiplexers and Demultiplexers". Search on Bibsonomy MIPRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30P. D. Lakshmijayasimha, Aleksandra Kaszubowska-Anandarajah, Pascal Landais, Prince M. Anandarajah Performance Evaluation of a Comb-Based Transmission System Employing Multi-Functional Active Demultiplexers. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
30Bahareh Mohammadi, Mohammad Soroosh, Abdulnabi Kovsarian, Yousef Seifi Kavian Improving the transmission efficiency in eight-channel all optical demultiplexers. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Gholamali Delphi, Saeed Olyaee, Mahmood Seifouri, Ahmad Mohebzadeh Bahabady Design of low cross-talk and high-quality-factor 2-channel and 4-channel optical demultiplexers based on photonic crystal nano-ring resonator. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Reza Talebzadeh, Mohammad Soroosh, Yousef Seifi Kavian, Farhad Mehdizadeh All-optical 6- and 8-channel demultiplexers based on photonic crystal multilayer ring resonators in Si/C rods. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Seok-Hwan Jeong, Yohei Sobu, Shinsuke Tanaka, Takasi Simoyama, Yu Tanaka, Ken Morito WDM interconnect targeted Si-wire optical demultiplexers for large manufacturing tolerance, low voltage tunability and polarization diversified operability. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
30Seok-Hwan Jeong, Daisuke Shimura, Takasi Simoyama, Tsuyoshi Horikawa, Yu Tanaka, Ken Morito Delayed interferometer based Si-wire WDM demultiplexers fabricated by phase controllable and productive 300-mm wafer-scale ArF-immersion lithography technology. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Sotirios Papaioannou 0001, George Dabos, Kostantinos Vyrsokinos, Giannis Giannoulis, A. Prinzen, C. Porschatis, M. Waldow, Dimitris Apostolopoulos, Hercules Avramopoulos, Nikos Pleros Eight-channel second-order ring resonator based SOI multiplexers/demultiplexers for optical interconnects. Search on Bibsonomy ECOC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Monia Najjar, R. Rejeb, Houria Rezig, Mohammad S. Obaidat Comparison of the Design Characteristics of MMI Wavelength Demultiplexers Using Different Approaches by Computing the Effective Index. Search on Bibsonomy J. Networks The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Toshihiko Hirooka, Takashi Kumakura, Kou Osawa, Masataka Nakazawa Comparison of 40GHz optical demultiplexers using SMZ switch and EA modulator in 160Gbit/s-500km OTDM transmission. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Shanfeng Cheng, José Silva-Martínez 6.8 mW 2.5 Gb/s and 42.5 mW 5 Gb/s 1: 8 CMOS demultiplexers. Search on Bibsonomy ISCAS (4) The full citation details ... 2004 DBLP  BibTeX  RDF
30Denis A. Khotimsky, Santosh Krishnan Stability analysis of a parallel packet switch with bufferless input demultiplexers. Search on Bibsonomy ICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Mário M. Freire, José A. R. Pacheco de Carvalho, Álvaro M. F. De Carvalho, Henrique J. A. da Silva Letter: Impact of double cavity FP demultiplexers on the performance of WDM dispersion supported transmission. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Michael Chowanetz, Claus Kuntzsch, Werner Wolz Aspects on integration of high-speed multiplexers and demultiplexers in VLSI test systems. Search on Bibsonomy VTS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Eric Rachlin, John E. Savage Nanowire addressing with randomized-contact decoders. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jun He 0010, Maïté Brandt-Pearce Dynamic Wavelength Assignment Using Wavelength Spectrum Separation for Crosstalk Limited Networks. Search on Bibsonomy BROADNETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Maher Ali Routing of 40-Gb/s Streams in Wavelength-Routed Heterogeneous Optical Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21V. Saminadan, Meenakshi Murugappan In-Band Crosstalk Performance of WDM Optical Networks Under Different Routing and Wavelength Assignment Algorithms. Search on Bibsonomy IWDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Kambiz K. Moez An integrated a-Si TFT demultiplexer for driving gate lines in active-matrix arrays. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21David C. Keezer, Dany Minier, F. Binette Modular Extension of ATE to 5 Gbps. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Matthew Cook 0001, Paul W. K. Rothemund, Erik Winfree Self-Assembled Circuit Patterns. Search on Bibsonomy DNA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Irfan Pyarali, Marina Spivak, Ron Cytron, Douglas C. Schmidt Evaluating and Optimizing Thread Pool Strategies for Real-Time CORBA. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CORBA
21R. D. (Shawn) Blanton, John P. Hayes Testability Properties of Divergent Trees. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF regular circuits, interactive logic arrays, structured circuits, test generation, fault detection, fault modeling
21S. C. Leung, Hon Fung Li A syntax-directed translation for the synthesis of delay-insensitive circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #32 of 32 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license