The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for dvfs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
162Hideaki Kimura 0003, Mitsuhisa Sato, Takayuki Imada, Yoshihiko Hotta Runtime DVFS control with instrumented Code in power-scalable cluster system. Search on Bibsonomy CLUSTER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
159Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark Voltage and Frequency Control With Adaptive Reaction Time in Multiple-Clock-Domain Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
123Yan Gu 0003, Samarjit Chakraborty, Wei Tsang Ooi Games are up for DVFS. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF graphics workload characterization, multimedia, animation, computer graphics, computer games, dynamic voltage and frequency scaling, power-aware design
119Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark Coordinated, distributed, formal energy management of chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, dynamic voltage scaling
106Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark Formal online methods for voltage/frequency control in multiple clock domain microprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MCD processors, formal methods, dynamic voltage/frequency scaling
93Mu-Kai Huang, J. Morris Chang, Wei-Mei Chen Grouping-Based Dynamic Power Management for Multi-threaded Programs in Chip-Multiprocessors. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
93Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
89Heather Hanson, Stephen W. Keckler, Soraya Ghiasi, Karthick Rajamani, Freeman L. Rawson III, Juan Rubio 0001 Thermal response to DVFS: analysis with an Intel Pentium M. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal measurement, microprocessor, temperature, DVFS, thermal management
83Siddharth Garg, Diana Marculescu, Radu Marculescu, Ümit Y. Ogras Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: a system-level perspective. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Networks-on-Chip, power management, performance bounds
83Wonyoung Kim, Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks System level analysis of fast, per-core DVFS using on-chip switching regulators. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
79Matthew Curtis-Maury, Ankur Shah, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, Martin Schulz 0001 Prediction models for multi-dimensional power-performance optimization on many cores. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic concurrency throttling
79Fen Xie, Margaret Martonosi, Sharad Malik Efficient behavior-driven runtime dynamic voltage scaling policies. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF runtime dynamic voltage scaling, low power
79Kihwan Choi, Wonbok Lee, Ramakrishna Soma, Massoud Pedram Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
70Yongpan Liu, Huazhong Yang, Robert P. Dick, Hui Wang 0004, Li Shang Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
66Michael Moeng, Rami G. Melhem Applying statistical machine learning to multicore voltage & frequency scaling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decision tree, power management, multicore
66Wen-Yew Liang, Shih-Chang Chen, Yang-Lang Chang, Jyh-Perng Fang Memory-Aware Dynamic Voltage and Frequency Prediction for Portable Devices. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
66Teemu Kanstrén, Mika Hongisto, Kari Kolehmainen Integrating and Testing a System-Wide Feature in a Legacy System: An Experience Report. Search on Bibsonomy CSMR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
66Fen Xie, Margaret Martonosi, Sharad Malik Bounds on power savings using runtime dynamic voltage scaling: an exact algorithm and a linear-time heuristic approximation. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bounds on energy savings, low power, dynamic voltage scaling, linear time
63Zhenwei Cao, Layne T. Watson, Kirk W. Cameron, Rong Ge 0002 A power aware study for VTDIRECT95 using DVFS. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VTDIRECT95, theoretical bound, high performance computing, power consumption, DVFS
59Noriko Takagi, Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Cooperative shared resource access control for low-power chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, chip multiprocessors, cache partitioning, dvfs, resource conflict
59Guihai Yan, Yinhe Han 0001, Hui Liu, Xiaoyao Liang, Xiaowei Li 0001 MicroFix: exploiting path-grained timing adaptability for improving power-performance efficiency. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF efficiency, DVFS, timing adaptability
59Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks Thread motion: fine-grained power management for multi-core systems. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core power management, thread motion, dvfs
59Jia-Ming Chen, Chih-Hao Chang, Shau-Yin Tseng, Jenq Kuen Lee, Wei-Kuan Shih Power Aware H.264/AVC Video Player on PAC Dual-Core SoC Platform. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Dual-Core SoC, H.264/AVC, Power-aware, DVFS
56Georgios Keramidas, Vasileios Spiliopoulos, Stefanos Kaxiras Interval-based models for run-time DVFS orchestration in superscalar processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance and power modeling, superscalar out-of-order processors, dynamic voltage and frequency scaling
56Ping Zhu, Fumin Yang, Gang Tu, Wei Luo Fault-Tolerant Scheduling for Periodic Tasks based on DVFS. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Ryo Watanabe, Masaaki Kondo, Hiroshi Nakamura, Takashi Nanya Power reduction of chip multi-processors using shared resource control cooperating with DVFS. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Hideaki Kimura 0003, Mitsuhisa Sato, Yoshihiko Hotta, Taisuke Boku, Daisuke Takahashi Emprical study on Reducing Energy of Parallel Programs using Slack Reclamation by DVFS in a Power-scalable High Performance Cluster. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Ramkumar Jayaseelan, Tulika Mitra Dynamic thermal management via architectural adaptation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture adaptation, dynamic thermal management
53Joël Goossens, Dragomir Milojevic, Vincent Nélis Power-Aware Real-Time Scheduling upon Dual CPU Type Multiprocessor Platforms. Search on Bibsonomy OPODIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Arindam Mallik, Jack Cosgrove, Robert P. Dick, Gokhan Memik, Peter A. Dinda PICSEL: measuring user-perceived performance to control dynamic frequency scaling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal emergency, user-perceived performance, power management, dynamic voltage and frequency scaling
53Sebastian Herbert, Diana Marculescu Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip-multiprocessor, dynamic voltage/frequency scaling
53Takeshi Kitahara, Hiroyuki Hara, Shinichiro Shiratake, Yoshiki Tsukiboshi, Tomoyuki Yoda, Tetsuaki Utsumi, Fumihiro Minami Low-power design methodology for module-wise dynamic voltage and frequency scaling with dynamic de-skewing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Kihwan Choi, Karthik Dantu, Wei-Chung Cheng, Massoud Pedram Frame-based dynamic voltage and frequency scaling for a MPEG decoder. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
53Chung-Hsing Hsu, Ulrich Kremer Dynamic Voltage and Frequency Scaling for Scientific Applications. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
49Jean-Michel Chabloz, Ahmed Hemani Distributed DVFS using rationally-related frequencies and discrete voltage levels. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF GRLS, DVFS, GALS
49Wan Yeon Lee, Young Woong Ko, Heejo Lee, Hyogon Kim Energy-efficient scheduling of a real-time task on DVFS-enabled multi-cores. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel processing, energy efficiency, DVFS, multi-core processor, real-time video
49Hiroshi Sasaki 0001, Yoshimichi Ikeda, Masaaki Kondo, Hiroshi Nakamura An intra-task dvfs technique based on statistical analysis of hardware events. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical analysis, performance estimation, DVFS, hardware performance counters
46Karthick Rajamani, Freeman L. Rawson III, Malcolm S. Ware, Heather Hanson, John B. Carter, Todd J. Rosedahl, Andrew J. Geissler, Guillermo J. Silva, Hong Hua Power-performance management on an IBM POWER7 server. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF POWER7, SPECpower_ssj2008, fan control, power management, energy savings, DVFS
46Jungseob Lee, Chi-Chao Wang, Hamid Reza Ghasemi, Lloyd Bircher, Yu Cao 0001, Nam Sung Kim Workload-adaptive process tuning strategy for power-efficient multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process parameter tuning, DVFS, multi-core processor
46Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick Online work maximization under a peak temperature constraint. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temperature-constraint optimization, performance, reliability, DVFS
46Salvatore Carta, Andrea Alimonda, Alessandro Pisano, Andrea Acquaviva, Luca Benini A control theoretic approach to energy-efficient pipelined computation in MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF feedback-control techniques, parallel systems, MPSoC, DVFS
46David C. Snowdon, Stefan M. Petters, Gernot Heiser Accurate on-line prediction of processor and memoryenergy usage under voltage scaling. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF PMC, power, energy, DVS, DVFS, performance counter
46Jianli Zhuo, Chaitali Chakrabarti, Naehyuck Chang, Sarma B. K. Vrudhula Extending the lifetime of fuel cell based hybrid systems. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DVFS system, task scaling, hybrid systems, battery, fuel cell
43Liang Guang, Ethiopia Nigussie, Lauri Koskinen, Hannu Tenhunen Autonomous DVFS on Supply Islands for Energy-Constrained NoC Communication. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Nobuaki Kobayashi, Tadayoshi Enomoto A low power 90-nm CMOS motion estimation processor implementing dynamic voltage and frequency scaling (DVFS) and fast motion estimation algorithm. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Alyssa Bonnoit, Sebastian Herbert, Diana Marculescu, Lawrence T. Pileggi Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage / frequency scaling, body biasing
40Shaobo Liu, Qinru Qiu, Qing Wu 0002 Energy Aware Dynamic Voltage and Frequency Selection for Real-Time Systems with Energy Harvesting. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Wayne H. Cheng, Bevan M. Baas Dynamic voltage and frequency scaling circuits with two supply voltages. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Vincent W. Freeh, Tyler K. Bletsch, Freeman L. Rawson III Scaling and Packing on a Chip Multiprocessor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Bren Mochocki, Dinesh Rajan, Xiaobo Sharon Hu, Christian Poellabauer, Kathleen Otten, Thidapat Chantem Network-Aware Dynamic Voltage and Frequency Scaling. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Arindam Mallik, Bin Lin 0002, Gokhan Memik, Peter A. Dinda, Robert P. Dick User-Driven Frequency Scaling. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Jong Sung Lee, Kevin Skadron, Sung Woo Chung Predictive Temperature-Aware DVFS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Microprocessor, DVFS, performance counter, dynamic thermal management, thermal sensor
36Mehmet Basoglu, Michael Orshansky, Mattan Erez NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wearout, energy efficiency, process variation, DVFS, NBTI
36Jungseob Lee, Nam Sung Kim Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore processor, DVFS, power gating
36Canturk Isci, Alper Buyuktosunoglu, Margaret Martonosi Long-Term Workload Phases: Duration Predictions and Applications to DVFS. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Adaptive dynamic management, workload behavior, duration predictions, prediction techniques, DVFS, performance counters
33Amir Yeganeh-Khaksar, Mohsen Ansari, Sepideh Safari, Sina Yari-Karin, Alireza Ejlali Ring-DVFS: Reliability-Aware Reinforcement Learning-Based DVFS for Real-Time Embedded Systems. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Hossein Ahmadvand, Fouzhan Foroutan, Mahmood Fathy DV-DVFS: Merging Data Variety and DVFS Technique to Manage the Energy Consumption of Big Data Processing. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
33Hossein Ahmadvand, Fouzhan Foroutan, Mahmood Fathy DV-DVFS: merging data variety and DVFS technique to manage the energy consumption of big data processing. Search on Bibsonomy J. Big Data The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Monire Safari, Reihaneh Khorsand PL-DVFS: combining Power-aware List-based scheduling algorithm with DVFS technique for real-time tasks in Cloud Computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
33Ryan Cochran, Sherief Reda Consistent runtime thermal prediction and control through workload phase detection. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF proactive control, thermal prediction, thermal sensing, workload phase, DVFS, multicore systems
33Takeshi Ogasawara, Ken Sakamura How lock contention affects energy use in a CMP server. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java, energy efficiency, CMP, DVFS, lock contention
33Efraim Rotem, Avi Mendelson, Ran Ginosar, Uri C. Weiser Multiple clock and voltage domains for chip multi processors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock domains, voltage domain, power management, DVFS, chip multi processor
33Seungrok Jung, Jungsoo Kim, Sangkwon Na, Chong-Min Kyung Energy-aware instruction-set customization for real-time embedded multiprocessor systems. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DVFS, instruction set extensions, configurable processors
33Yuwen Sun, Shimeng Huang, Joseph Oresko, John Krais, Allen C. Cheng A programmable implementation of neural signal processing on a smartdust for brain-computer interfaces. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF brain-implantable computing, smartdust, brain-computer interface, tinyOS, DVFS
33Omer Khan, Sandip Kundu Predictive Thermal Management for Chip Multiprocessors Using Co-designed Virtual Machines. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dynamic Thermal Management (DTM), Virtual Thermal Manager (VTM), Dynamic Voltage and Frequency Scaling (DVFS)
33Edith Beigné, Fabien Clermidy, Sylvain Miermont, Pascal Vivet Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pausable clock, Vdd Hopping, Network-on-Chip, power, DVFS, GALS
33Jianli Zhuo, Chaitali Chakrabarti, Naehyuck Chang, Sarma B. K. Vrudhula Maximizing the lifetime of embedded systems powered by fuel cell-battery hybrids. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DVFS system, task scaling, hybrid systems, battery, fuel cell
33Ravishankar Rao, Sarma B. K. Vrudhula, Chaitali Chakrabarti, Naehyuck Chang An optimal analytical solution for processor speed control with thermal constraints. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optimal control, temperature, DVFS, thermal management, DTM
26Jungsoo Kim, Seungyong Oh, Sungjoo Yoo, Chong-Min Kyung An Analytical Dynamic Scaling of Supply Voltage and Body Bias Based on Parallelism-Aware Workload and Runtime Distribution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Sebastian Herbert, Diana Marculescu Variation-aware dynamic voltage/frequency scaling. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Yu-Wei Yang, Katherine Shu-Min Li Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Bin Lin 0002, Arindam Mallik, Peter A. Dinda, Gokhan Memik, Robert P. Dick User- and process-driven dynamic voltage and frequency scaling. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Maja Etinski, Julita Corbalán, Jesús Labarta, Mateo Valero, Alexander V. Veidenbaum Power-aware load balancing of large scale MPI applications. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Jing Ren 0003, Rajni V. Patel, Kenneth A. McIsaac, Gerard Guiraudon, Terry M. Peters Dynamic 3-D Virtual Fixtures for Minimally Invasive Beating Heart Procedures. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Parth Malani, Prakash Mukre, Qinru Qiu, Qing Wu 0002 Adaptive Scheduling and Voltage Scaling for Multiprocessor Real-time Applications with Non-deterministic Workload. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Sébastien Bilavarn, Cécile Belleudy, Michel Auguin, T. Dupont, Anne-Marie Fouilliart Embedded Multicore Implementation of a H.264 Decoder with Power Management Considerations. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Andrea Marongiu, Luca Benini, Andrea Acquaviva, Andrea Bartolini Analysis of Power Management Strategies for a Large-Scale SoC Platform in 65nm Technology. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Alex Shye, Yan Pan, Benjamin Scholbrock, J. Scott Miller, Gokhan Memik, Peter A. Dinda, Robert P. Dick Power to the people: Leveraging human physiological traits to control microprocessor frequency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Shiva Chaitanya, Bhuvan Urgaonkar, Anand Sivasubramaniam QDSL: a queuing model for systems with differential service levels. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF differential service levels, dynamic voltage frequency scaling, markov decision process, secure storage
26Kenji Funaoka, Akira Takeda, Shinpei Kato, Nobuyuki Yamasaki Dynamic voltage and frequency scaling for optimal real-time scheduling on multiprocessors. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Omer Khan, Sandip Kundu A framework for predictive dynamic temperature management of microprocessor systems. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Qifei Fan, Ge Zhang 0007, Weiwu Hu A synchronized variable frequency clock scheme in chip multiprocessors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power-Aware Software Prefetching. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Min Yeol Lim, Vincent W. Freeh Determining the Minimum Energy Consumption using Dynamic Voltage and Frequency Scaling. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Howard David, Zhao Zhang 0010 Thermal modeling and management of DRAM memory systems. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal management, thermal modeling, DRAM memories
26Bren Mochocki, Kanishka Lahiri, Srihari Cadambi Power analysis of mobile 3D graphics. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Hanene Ben Fradj, Cécile Belleudy, Michel Auguin Multi-Bank Main Memory Architecture with Dynamic Voltage Frequency Scaling for System Energy Optimization. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Bren Mochocki, Kanishka Lahiri, Srihari Cadambi, Xiaobo Sharon Hu Signature-based workload estimation for mobile 3D graphics. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF workload estimation, embedded systems, dynamic voltage scaling, 3D graphics
26Kihwan Choi, Ramakrishna Soma, Massoud Pedram Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Dimitrios N. Serpanos, Yuan Xie 0001 Power Attack Resistant Cryptosystem Design: A Dynamic Voltage and Frequency Switching Approach. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Christian Poellabauer, Tao Zhang 0037, Santosh Pande, Karsten Schwan An Efficient Frequency Scaling Approach for Energy-Aware Embedded Real-Time Systems. Search on Bibsonomy ARCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Ravishankar Rao, Sarma B. K. Vrudhula Energy optimal speed control of devices with discrete speed sets. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, functions, convex, voltage scaling, energy optimization, frequency scaling, speed control
26Kihwan Choi, Ramakrishna Soma, Massoud Pedram Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Kihwan Choi, Ramakrishna Soma, Massoud Pedram Dynamic voltage and frequency scaling based on workload decomposition. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF workload decomposition, dynamic voltage and frequency scaling
26Kihwan Choi, Ramakrishna Soma, Massoud Pedram Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MPEG decoding, voltage and frequency scaling, low power
20Yanqin Yang, Wenchao Xu 0002, Minyi Guo, Zili Shao An Efficient Approach of Power Reducing for Scratch-Pad Memory Based Embedded Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Embedded System, Power, DVFS, Scratch-pad Memory
20Sangyeun Cho, Rami G. Melhem On the Interplay of Parallelization, Program Performance, and Energy Consumption. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy-delay product (EDP), Multicore processor, dynamic voltage and frequency scaling (DVFS), Amdahl's law
20Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kandemir, Chita R. Das Coordinated power management of voltage islands in CMPs. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multiprocessors (CMP), control theory, GALs, DVFs
20Jaehyun Park 0005, Donghwa Shin, Naehyuck Chang, Massoud Pedram Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DVS overhead model, PLL, DVFS, DC-DC converter
20Andrew Herdrich, Ramesh Illikkal, Ravi R. Iyer 0001, Donald Newell, Vineet Chadha, Jaideep Moses Rate-based QoS techniques for cache/memory in CMP platforms. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF p-states, performance differentiation, t-states, cache, memory, rate control, qos, clock gating, frequency scaling, dvfs
Displaying result #1 - #100 of 661 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license