|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 727 occurrences of 523 keywords
|
|
|
Results
Found 744 publication records. Showing 744 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
114 | John Regehr |
Random testing of interrupt-driven software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th ACM International Conference On Embedded Software, Proceedings, pp. 290-298, 2005, ACM, 1-59593-091-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
interrupt-driven software, sensor networks, embedded systems, random testing |
104 | Seong-ryong Kang, Dmitri Loguinov |
IMR-Pathload: Robust Available Bandwidth Estimation Under End-Host Interrupt Delay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAM ![In: Passive and Active Network Measurement, 9th International Conference, PAM 2008, Cleveland, OH, USA, April 29-30, 2008. Proceedings, pp. 172-181, 2008, Springer, 978-3-540-79231-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
interrupt moderation, interrupt delays, network measurement, Bandwidth estimation |
95 | Yuting Zhang, Richard West |
Process-Aware Interrupt Scheduling and Accounting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 27th IEEE Real-Time Systems Symposium (RTSS 2006), 5-8 December 2006, Rio de Janeiro, Brazil, pp. 191-201, 2006, IEEE Computer Society, 0-7695-2761-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
95 | Dennis Brylow, Jens Palsberg |
Deadline Analysis of Interrupt-Driven Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 30(10), pp. 634-655, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
multiresolution static analysis, Real time, testing oracles |
95 | Dennis Brylow, Jens Palsberg |
Deadline analysis of interrupt-driven software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC / SIGSOFT FSE ![In: Proceedings of the 11th ACM SIGSOFT Symposium on Foundations of Software Engineering 2003 held jointly with 9th European Software Engineering Conference, ESEC/FSE 2003, Helsinki, Finland, September 1-5, 2003, pp. 198-207, 2003, ACM, 978-1-58113-743-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multi-resolution static analysis, real time, testing oracles |
86 | Krishnendu Chatterjee, Di Ma, Rupak Majumdar, Tian Zhao 0002, Thomas A. Henzinger, Jens Palsberg |
Stack Size Analysis for Interrupt-Driven Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 10th International Symposium, SAS 2003, San Diego, CA, USA, June 11-13, 2003, Proceedings, pp. 109-126, 2003, Springer, 3-540-40325-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
85 | Peng Liu 0027, Ming Cai, Tingting Fu, Jinxiang Dong |
An EDF Interrupt Handling Scheme for Real-Time Kernel: Design and Task Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 969-972, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interrupt scheduling, Task simulation, Similar Earliest-Deadline-First, Real-time system |
85 | Peng Liu 0027, Guojun Dai, Tingting Fu, Hong Zeng, Xiang Zhang |
A Lazy EDF Interrupt Scheduling Algorithm for Multiprocessor in Parallel Computing Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 7th International Conference, ICA3PP 2007, Hangzhou, China, June 11-14, 2007, Proceedings, pp. 49-59, 2007, Springer, 978-3-540-72904-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interrupt scheduling, Lazy EDF, Real-time system, Parallel computing, Multiprocessor |
85 | Aamer Jaleel, Bruce L. Jacob |
In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(5), pp. 559-574, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reorder-buffer (ROB), exception handlers, in-line interrupt, lock-up free, translation lookaside buffers (TLBs), performance modeling, precise interrupts |
76 | Jens Palsberg, Di Ma |
A Typed Interrupt Calculus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTRTFT ![In: Formal Techniques in Real-Time and Fault-Tolerant Systems, 7th International Symposium, FTRTFT 2002, Co-sponsored by IFIP WG 2.2, Oldenburg, Germany, September 9-12, 2002, Proceedings, pp. 291-310, 2002, Springer, 3-540-44165-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
75 | Emre Özer 0001, Sumedh W. Sathaye, Kishore N. Menezes, Sanjeev Banerjia, Matthew D. Jennings, Thomas M. Conte |
A Fast Interrupt Handling Scheme for VLIW Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 136-141, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Interrupt, VLIW, Embedded Processors, ILP, Superscalar, Out-of-order Issue |
68 | G. Scott Owen |
RT Prolog: a real time Prolog written in Ada. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the Sixteenth ACM Annual Conference on Computer Science, Atlanta, Georgia, USA, February 23-25, 1988, pp. 684, 1988, ACM, 0-89791-260-8. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
Ada, Prolog |
67 | Xiaolin Chang, Jogesh K. Muppala, Pengcheng Zou, Xiangkai Li |
A Robust Device Hybrid Scheme to Improve System Performance in Gigabit Ethernet Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 32nd Annual IEEE Conference on Local Computer Networks (LCN 2007), 15-18 October 2007, Clontarf Castle, Dublin, Ireland, Proceedings, pp. 444-454, 2007, IEEE Computer Society, 0-7695-3000-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Receive Livelock, interrupt coalescing, polling, Gigabit Ethernet |
67 | Khaled Salah 0001, K. El-Badawi |
Modeling and Analysis of Interrupt Disable-Enable Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 21st International Conference on Advanced Information Networking and Applications (AINA 2007), May 21-23, 2007, Niagara Falls, Canada, pp. 1000-1005, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
67 | Jianjun Jiang, Jiguang Liu, Yun Yao |
An Interrupt Mechanism in Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS (1) ![In: Interdisciplinary and Multidisciplinary Research in Computer Science, IEEE CS Proceeding of the First International Multi-Symposium of Computer and Computational Sciences (IMSCCS|06), June 20-24, 2006, Zhejiang University, Hangzhou, China, Vol. 1, pp. 662-667, 2006, IEEE Computer Society, 0-7695-2581-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
67 | John Regehr, Usit Duongsaa |
Preventing interrupt overload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'05), Chicago, Illinois, USA, June 15-17, 2005, pp. 50-58, 2005, ACM, 1-59593-018-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
scheduling, embedded, interrupts, overload |
67 | Khaled Salah 0001 |
An Analytical Model for Evaluating Interrupt-Driven System Performance of Gigabit Ethernet Hosts with Finite Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 10th IEEE Symposium on Computers and Communications (ISCC 2005), 27-30 June 2005, Murcia, Cartagena, Spain, pp. 983-988, 2005, IEEE Computer Society, 0-7695-2373-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
67 | Aamer Jaleel, Bruce L. Jacob |
Improving the Precise Interrupt Mechanism of Software-Managed TLB Miss Handlers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2001, 8th International Conference, Hyderabad, India, December, 17-20, 2001, Proceedings, pp. 282-293, 2001, Springer, 3-540-43009-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
66 | Bill Stoddart, Dominique Cansell, Frank Zeyda |
Modelling and Proof Analysis of Interrupt Driven Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
B ![In: B 2007: Formal Specification and Development in B, 7th International Conference of B Users, Besançon, France, January 17-19, 2007, Proceedings, pp. 155-170, 2007, Springer, 3-540-68760-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interrupt Driven Scheduler, Formal Methods, Event Calculus |
66 | Jeffrey C. Mogul, K. K. Ramakrishnan |
Eliminating Receive Livelock in an Interrupt-Driven Kemel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 15(3), pp. 217-252, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
interrupt-driven kernel, scheduling, polling, livelock |
65 | Maobing Dai, Yutaka Ishikawa |
Delayed Interrupt Processing Technique for Reducing Latency of Timer Interrupt in Embedded Linux. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE (2) ![In: Proceedings of the 12th IEEE International Conference on Computational Science and Engineering, CSE 2009, Vancouver, BC, Canada, August 29-31, 2009, pp. 388-393, 2009, IEEE Computer Society, 978-1-4244-5334-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
58 | Weiwu Hu, Gang Shi, Fuxin Zhang |
Communication with Threads in Software DSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2001 IEEE International Conference on Cluster Computing (CLUSTER 2001), 8-11 October 2001, Newport Beach, CA, USA, pp. 149-154, 2001, IEEE Computer Society, 0-7695-1116-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
57 | Fu-Ching Yang, Wen-Kai Huang, Jing-Kun Zhong, Ing-Jer Huang |
Automatic Verification of External Interrupt Behaviors for Microprocessor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(9), pp. 1670-1683, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
57 | Stephan Korsholm, Martin Schoeberl, Anders P. Ravn |
Interrupt Handlers in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 5-7 May 2008, Orlando, Florida, USA, pp. 453-457, 2008, IEEE Computer Society, 978-0-7695-3132-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
57 | Antonino Tumeo, Marco Branca, Lorenzo Camerini, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto |
An Interrupt Controller for FPGA-based Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2007), Samos, Greece, July 16-19, 2007, pp. 82-87, 2007, IEEE, 1-4244-1058-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
57 | Huaidong Shi, Ming Cai, Jinxiang Dong |
Interrupt Synchronization Lock for Real-time Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 171, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
57 | Richard Mancusi, Joyce L. Tokar, Moshe Rabinowitz, Eliezer N. Solomon, Marc Pitarys, Clive L. Benjamin |
Real and Virtual Interrupt Support: The Mapping of a CARTS Feature to Two Different Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ada-Europe ![In: Ada-Europe '93, 12th Ada-Europe International Conference, Ada Sans Frontières, Paris, France, June 14-18, 1993, Proceedings, pp. 314-329, 1993, Springer, 3-540-56802-6. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
56 | Jochen Kreuzinger, A. Schulz, Matthias Pfeffer, Theo Ungerer, Uwe Brinkschulte, C. Krakowski |
Real-time scheduling on multithreaded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 155-159, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
core processor, real-time events, interrupt service threads, interrupt service routines, zero-cycle context switching, fixed priority preemptive, least laxity first, embedded systems, real-time scheduling, processor scheduling, system-on-a-chip, multi-threading, earliest deadline first, multithreaded processors |
56 | Dong-Hwan Park, Soon-Ju Kang |
IEEE1394 OHCI device driver architecture for guarantee real-time requirement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 389-393, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
IEEE1394 OHCI device driver architecture, guaranteed real time requirement, priority based packet queueing, interrupt latency time, ISR, Interrupt Service Routine, device driver level support, real time characteristics, high priority applications, digital multimedia services, hard real time instrumentation, control applications, real-time systems, queueing theory, packet switching, interrupts, client-server systems, home networks, device drivers, QoS guarantees, real time requirements, real time middleware |
56 | Jinkyu Jeong, Euiseong Seo, Dongsung Kim, Jinsoo Kim 0001, Joonwon Lee, Yung-Joon Jung, Donghwan Kim, Kanghee Kim |
Transparent and Selective Real-Time Interrupt Services for Performance Improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEUS ![In: Software Technologies for Embedded and Ubiquitous Systems, 5th IFIP WG 10.2 International Workshop, SEUS 2007, Santorini Island, Greece, May 2007. Revised Papers, pp. 283-292, 2007, Springer, 978-3-540-75663-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Real-time, Throughput, Latency, Scheduling algorithm, Embedded operating systems, Interrupt handling |
55 | Jupyung Lee, Kyu Ho Park |
Interrupt handler migration and direct interrupt scheduling for rapid scheduling of interrupt-driven tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 9(4), pp. 42:1-42:34, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
48 | In-Su Yoon, Sang-Hwa Chung, Ben Lee, Hyuk-Chul Kwon |
Implementation and Performance Evaluation of M-VIA on AceNIC Gigabit Ethernet Card. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings, pp. 995-1000, 2003, Springer, 3-540-40788-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
48 | Xue-Yu Hong, Ling Zhang, Jinlong Hu |
New Scheme of Implementing Real-Time Linux. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSEA ![In: Proceedings of the International Conference on Software Engineering Advances (ICSEA 2006), October 28 - November 2, 2006, Papeete, Tahiti, French Polynesia, pp. 67, 2006, IEEE Computer Society, 0-7695-2703-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
real-time Linux, timer mechanism, interrupt handler |
47 | Heiko Mantel, Henning Sudbrock |
Comparing Countermeasures against Interrupt-Related Covert Channels in an Information-Theoretic Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSF ![In: 20th IEEE Computer Security Foundations Symposium, CSF 2007, 6-8 July 2007, Venice, Italy, pp. 326-340, 2007, IEEE Computer Society, 0-7695-2819-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Fu-Ching Yang, Wen-Kai Huang, Ing-Jer Huang |
Automatic Verification of External Interrupt Behaviors for Microprocessor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 896-901, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Luis E. Leyva-del-Foyo, Pedro Mejía-Alvarez, Dionisio de Niz |
Predictable Interrupt Scheduling with Low Overhead for Real-Time Kernels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 12th IEEE Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2006), 16-18 August 2006, Sydney, Australia, pp. 385-394, 2006, IEEE Computer Society, 0-7695-2676-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
47 | Ken W. Batcher, Robert A. Walker 0001 |
Interrupt Triggered Software Prefetching for Embedded CPU Instruction Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 4-7 April 2006, San Jose, California, USA, pp. 91-102, 2006, IEEE Computer Society, 0-7695-2516-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
47 | Luis E. Leyva-del-Foyo, Pedro Mejía-Alvarez, Dionisio de Niz |
Predictable Interrupt Management for Real Time Kernels over conventional PC Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 4-7 April 2006, San Jose, California, USA, pp. 14-23, 2006, IEEE Computer Society, 0-7695-2516-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
47 | Tullio Facchinetti, Giorgio C. Buttazzo, Mauro Marinoni, Giacomo Guidi |
Non-Preemptive Interrupt Scheduling for Safe Reuse of Legacy Drivers in Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 6-8 July 2005, Palma de Mallorca, Spain, Proceedings, pp. 98-105, 2005, IEEE Computer Society, 0-7695-2400-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
47 | Baris Guler, Ramesh Radhakrishnan, Ronald Pepper |
Performance Effects of Interrupt Throttle Rate on Linux Clusters using Intel Gigabit Network Adapters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2005 IEEE International Conference on Cluster Computing (CLUSTER 2005), September 26 - 30, 2005, Boston, Massachusetts, USA, pp. 1, 2005, IEEE Computer Society, 0-7803-9485-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
47 | Ravi S. Prasad, Manish Jain, Constantinos Dovrolis |
Effects of Interrupt Coalescence on Network Measurements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAM ![In: Passive and Active Network Measurement, 5th International Workshop, PAM 2004, Antibes Juan-les-Pins, France, April 19-20, 2004, Proceedings, pp. 247-256, 2004, Springer, 3-540-21492-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
47 | Branden J. Moore, Thomas Slabach, Lambert Schaelicke |
Profiling Interrupt Handler Performance through Kernel Instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 156-163, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
47 | Meik Felser, Michael Golm, Christian Wawersich, Jürgen Kleinöder |
Execution time limitation of interrupt handlers in a Java operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS European Workshop ![In: Proceedings of the 10th ACM SIGOPS European Workshop, Saint-Emilion, France, July 1, 2002, pp. 190-193, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
47 | Friedrich Schön, Wolfgang Schröder-Preikschat, Olaf Spinczyk, Ute Spinczyk |
On Interrupt-Transparent Synchronization in an Embedded Object-Oriented Operating System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 3rd International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2000), 15-17 March 2000, Newport Beach, CA, USA, pp. 270-277, 2000, IEEE Computer Society, 0-7695-0607-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
real-time systems, embedded systems, synchronization, object orientation, operating systems |
47 | Chris Bailey 0002 |
Achieving Minimal and Deterministic Interrupt Execution in Stack-Based Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 26th EUROMICRO 2000 Conference, Informatics: Inventing the Future, 5-7 September 2000, Maastricht, The Netherlands, pp. 1368-, 2000, IEEE Computer Society, 0-7695-0780-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
47 | Stefanos N. Damianakis, Yuqun Chen, Edward W. Felten |
Reducing Waiting Costs in User-Level Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 381-387, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
waiting cost reduction, user-level communication libraries, interrupt servicing cost, SHRIMP multicomputer, user-level sockets library, hybrid spin-then-block strategy, interrupt path speed, performance, parallel architectures, messages, polling, network interfaces, parallel computer architectures |
46 | Fabian Scheler, Wanja Hofer, Benjamin Oechslein, Rudi Pfister, Wolfgang Schröder-Preikschat, Daniel Lohmann |
Parallel, hardware-supported interrupt handling in an event-triggered real-time operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2009, Grenoble, France, October 11-16, 2009, pp. 167-174, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
ciao, priority-driven, rate-monotonic priority inversion, tricore, real-time systems, interrupt handling |
46 | Chin-Hsien Wu, Tei-Wei Kuo, Chia-Lin Yang |
Energy-efficient flash-memory storage systems with an interrupt-emulation mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 134-139, 2004, ACM, 1-58113-937-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interrupt-emulation I/O, programmed I/O, embedded systems, energy-efficient, flash memory, storage systems |
39 | Mario Bravetti, Gianluigi Zavattaro |
On the Expressive Power of Process Interruption and Compensation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WS-FM ![In: Web Services and Formal Methods, 5th International Workshop, WS-FM 2008, Milan, Italy, September 4-5, 2008, Revised Selected Papers, pp. 1-18, 2008, Springer, 978-3-642-01363-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
39 | Lambert Schaelicke, Al Davis, Sally A. McKee |
Profiling I/O Interrupts in Modern Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August - 1 September 2000, San Francisco, California, USA, pp. 115-123, 2000, IEEE Computer Society, 0-7695-0728-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
39 | Urs Hölzle, Craig Chambers, David M. Ungar |
Debugging Optimized Code with Dynamic Deoptimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'92 Conference on Programming Language Design and Implementation (PLDI), San Francisco, California, USA, June 17-19, 1992, pp. 32-43, 1992, ACM, 0-89791-475-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Self |
38 | Hans-Juergen Boehm |
An almost non-blocking stack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Third Annual ACM Symposium on Principles of Distributed Computing, PODC 2004, St. John's, Newfoundland, Canada, July 25-28, 2004, pp. 40-49, 2004, ACM, 1-58113-802-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interrupt handler, signal handler, stack, memory allocation, lock-free, compare-and-swap, non-blocking, linked list |
38 | Yoav Etsion, Dan Tsafrir, Dror G. Feitelson |
Effects of clock resolution on the scheduling of interactive and soft real-time processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2003, June 9-14, 2003, San Diego, CA, USA, pp. 172-183, 2003, ACM, 1-58113-664-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
clock interrupt rate, scheduling, Linux, tuning, overhead, soft real-time, interactive process |
38 | Uwe Brinkschulte, C. Krakowski, Jochen Kreuzinger, Theo Ungerer |
A Multithreaded Java Microcontroller for Thread-Oriented Real-Time Event Handling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 34-39, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
multithreaded microcontroller, interrupt service thread, thread-oriented event-handling, real-time, java processor, proportional share |
38 | Niklaus Wirth |
On multiprogramming, machine coding, and computer organization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 12(9), pp. 489-498, 1969. The full citation details ...](Pics/full.jpeg) |
1969 |
DBLP DOI BibTeX RDF |
PL360, file handling, parallelism, interrupt, multiprogramming, computer organization, input-output |
38 | Béatrice Bérard, Serge Haddad |
Interrupt Timed Automata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FoSSaCS ![In: Foundations of Software Science and Computational Structures, 12th International Conference, FOSSACS 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 197-211, 2009, Springer, 978-3-642-00595-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
decidability of reachability, timed automata, interruptions, Hybrid automata, multi-task systems |
38 | Xiaolin Chang, Jogesh K. Muppala, Zhen Han, Jiqiang Liu |
Analysis of Interrupt Coalescing Schemes for Receive-Livelock Problem in Gigabit Ethernet Network Hosts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 1835-1839, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
38 | Luis E. Leyva-del-Foyo, Pedro Mejía-Alvarez, Dionisio de Niz |
Real-Time Scheduling of Interrupt Requests over Conventional PC Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ENC ![In: Seventh Mexican International Conference on Computer Science, ENC 2006, 18-22 September 2006, San Luis Potosi, Mexico, pp. 27-36, 2006, IEEE Computer Society, 0-7695-2666-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Janusz Borkowski |
Interrupt and Cancellation as Synchronization Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 4th International Conference, PPAM 2001 Naleczow, Poland, September 9-12, 2001, Revised Papers, pp. 3-9, 2001, Springer, 3-540-43792-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
38 | Manfred Langenbach-Belz |
Vergleich zweier Warteschlagenmodelle für Realzeit-rechnersysteme mit Interrupt- bzw. Takt-Gesteuerter Übernahme von Anforderungen aus der Peripherie. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GI Jahrestagung ![In: Gesellschaft für Informatik e.V., 3. Jahrestagung, Hamburg, Deutschland, 8.-10. Oktober 1973, pp. 304-313, 1973, Springer, 3-540-06473-7. The full citation details ...](Pics/full.jpeg) |
1973 |
DBLP DOI BibTeX RDF |
|
37 | Tao Cui, Hai Jin 0001, Xiaofei Liao, Haikun Liu |
A Virtual Machine Replay System Based on Para-virtualized Xen. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: NPC 2009, Sixth IFIP International Conference on Network and Parallel Computing, Gold Coast, Australia, October 19-21, 2009, pp. 44-50, 2009, IEEE Computer Society, 978-0-7695-3837-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Para-virtualized Device Model, Non-masked Interrupt, Advanced Programmable Interrupt Controller, Shared Memory |
37 | Yaozu Dong, Dongxiao Xu, Yang Zhang, Guangdeng Liao |
Optimizing Network I/O Virtualization with Efficient Interrupt Coalescing and Virtual Receive Side Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2011 IEEE International Conference on Cluster Computing (CLUSTER), Austin, TX, USA, September 26-30, 2011, pp. 26-34, 2011, IEEE Computer Society, 978-1-4577-1355-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Network I/O virtualization, Interrupt coalescing, Receive side scaling, Multi-core, Xen |
37 | Sébastien Lafond, Johan Lilius |
Interrupt Costs in Embedded System with Short Latency Hardware Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 15th Annual IEEE International Conference and Workshop on Engineering of Computer Based Systems (ECBS 2008), 31 March - 4 April 2008, Belfast, Northern Ireland, pp. 317-325, 2008, IEEE Computer Society, 978-0-7695-3141-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Interrupt, Hardware accelerator |
37 | Christian Siemers, Rainer Falsett, Reinhard Seyer, Klaus H. Ecker |
Supporting the Hard Real-Time Requirements of Mechatronic Systems by 2-Level Interrupt Service Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 119, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Mechatronic System, Event-Triggered System, Interrupt-Request Controller, Event Combining, Schedulability, Rate-Monotonic Analysis |
37 | Wade Walker, Harvey G. Cragon |
Interrupt Processing in Concurrent Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 28(6), pp. 36-46, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Interrupt processing, imprecise interrupts, concurrent processors, checkpointing, taxonomy, superscalar processors, pipelined processors, out- of-order execution, out-of-order issue, precise interrupts |
37 | Hwa C. Torng, Martin Day |
Interrupt Handling for Out-of-Order Execution Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 42(1), pp. 122-127, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
out-of-order execution processors, low-level execution concurrency, vexing problem, hardware mechanism, concurrency control, latency, exception handling, exception handling, interrupts, superscalars, performance degradation, performance enhancement, instruction window, multiple instructions, interrupt handling |
37 | Howard L. Morgan |
An interrupt based organization for management information systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 13(12), pp. 734-739, 1970. The full citation details ...](Pics/full.jpeg) |
1970 |
DBLP DOI BibTeX RDF |
integrated data processing supervisors, interrupt scheduling, supervisory systems, parallel processing, interrupts, management information systems, monitoring systems |
36 | Yifan Zhu, Peinan Li, Lutan Zhao, Dan Meng, Rui Hou 0001 |
ChaosINTC: A Secure Interrupt Management Mechanism against Interrupt-based Attacks on TEE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: 60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023, pp. 1-6, 2023, IEEE, 979-8-3503-2348-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
36 | Ryo Wada, Nobuyuki Yamasaki |
Fast Interrupt Handling Scheme by Using Interrupt Wake-Up Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANDAR Workshops ![In: Seventh International Symposium on Computing and Networking Workshops, CANDAR 2019 Workshops, Nagasaki, Japan, November 26-29, 2019, pp. 109-114, 2019, IEEE, 978-1-7281-5268-4. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
36 | Geoff Collyer |
Setting Interrupt Priorities in Software via Interrupt Queueing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Syst. ![In: Comput. Syst. 9(2), pp. 119-130, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
29 | Guoqiang Li 0001, Shoji Yuen, Masakazu Adachi |
Environmental Simulation of Real-Time Systems with Nested Interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TASE ![In: TASE 2009, Third IEEE International Symposium on Theoretical Aspects of Software Engineering, 29-31 July 2009, Tianjin, China, pp. 21-28, 2009, IEEE Computer Society, 978-0-7695-3757-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Hideaki Kimura 0003, Mitsuhisa Sato, Takayuki Imada, Yoshihiko Hotta |
Runtime DVFS control with instrumented Code in power-scalable cluster system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: Proceedings of the 2008 IEEE International Conference on Cluster Computing, 29 September - 1 October 2008, Tsukuba, Japan, pp. 354-359, 2008, IEEE Computer Society, 978-1-4244-2640-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Tony O'Donovan, Jonathan P. Benson, Utz Roedig, Cormac J. Sreenan |
Priority interrupts of Duty Cycled communications in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: LCN 2008, The 33rd IEEE Conference on Local Computer Networks, The Conference on Leading Edge and Practical Computer Networking, Hyatt Regency Montreal, Montreal, Quebec, Canada, 14-17 October 2008, Proceedings, pp. 732-739, 2008, IEEE Computer Society, 978-1-4244-2412-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Anthony D. Wood, John A. Stankovic, Gang Zhou |
DEEJAM: Defeating Energy-Efficient Jamming in IEEE 802.15.4-based Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECON ![In: Proceedings of the Fourth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON 2007, Merged with IEEE International Workshop on Wireless Ad-hoc and Sensor Networks (IWWAN), June 18-21, 2007, San Diego, California, USA, pp. 60-69, 2007, IEEE, 1-4244-1268-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Greg Hoover, Forrest Brewer, Timothy Sherwood |
A case study of multi-threading in the embedded space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 357-367, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multi-threading, embedded architecture |
29 | Hidenori Umeno, Masato Kiyama, Takafumi Fukunaga, Takashige Kubo |
New Method for Dispatching Waiting Logical Processors in Virtual Machine Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (1) ![In: 29th Annual International Computer Software and Applications Conference, COMPSAC 2005, Edinburgh, Scotland, UK, July 25-28, 2005. Volume 1, pp. 551-560, 2005, IEEE Computer Society, 0-7695-2413-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Kyong Jo Jung, Seok Gan Jung, Chanik Park |
Stabilizing Execution Time of User Processes by Bottom Half Scheduler in Linux. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June - 2 July 1004, Catania, Italy, Proceedings, pp. 71-78, 2004, IEEE Computer Society, 0-7695-2176-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Khaled Salah 0001, K. El-Badawi |
Evaluating System Performance in Gigabit Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 28th Annual IEEE Conference on Local Computer Networks (LCN 2003), The Conference on Leading Edge and Practical Computer Networking, 20-24 October 2003, Bonn/Königswinter, Germany, Proceedings, pp. 498-, 2003, IEEE Computer Society, 0-7695-2037-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Harold S. Stone, Dominique Thiébaut |
Footprints in the Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1986 ACM SIGMETRICS joint international conference on Computer performance modelling, measurement and evaluation, North Carolina, State University, USA, May 28-30, 1986, pp. 4-8, 1986, ACM, 0-89791-184-9. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
28 | Subrat Kumar Panda, Arnab Roy 0001, P. P. Chakrabarti 0001, Rajeev Kumar 0004 |
Simulation-based verification using Temporally Attributed Boolean Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(4), pp. 63:1-63:52, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Bus verification, instruction semantics verification, interrupt testing, offline-online verification algorithm, simulation based verification, temporal logic, timing verification |
28 | Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou |
Integrating Power Management into Distributed Real-time Systems at Very Low Implementation Cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 872-877, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
hardware modifications, software modifications, hardware timers, interrupt controllers, software/device driver, distributed software-defined radio system, hardware measurements, power manager, power management, multiprocessor systems, distributed real-time systems, power constraints, low-power embedded systems |
28 | John Regehr, Alastair Reid 0001, Kirk Webb |
Eliminating stack overflow by abstract interpretation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 4(4), pp. 751-778, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
call stack, interrupt-driven, sensor network, abstract interpretation, Microcontroller, context sensitive, dataflow analysis |
28 | James A. Whittaker, Andres De Vivanco |
Neutralizing windows-based malicious mobile code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), March 10-14, 2002, Madrid, Spain, pp. 242-246, 2002, ACM, 1-58113-445-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
API hooking, code signing, interrupt table, native code, worm, windows, wrapper, mobile code, virus, sandbox, Microsoft Windows |
28 | Daeyoung Kim 0001, Yann-Hang Lee, Mohamed F. Younis |
SPIRIT-μKernel for strongly partitioned real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 73-80, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
SPIRIT-/spl mu/Kernel, strongly partitioned real-time systems, integrated application modules, integrated real-time systems, two-level hierarchical scheduling methodology, real-time constraint guarantees, address management, interrupt dispatching, exception dispatching, inter-application communication, application-level real-time operating systems, WindRiver VxWorks, Cygnus eCos, dependable integration, real-time systems, reliability, software architecture, software architecture, software reliability, software reusability, reusability, software portability, subroutines, operating system kernels, overheads, microkernel, cost reduction, integrated software, application scheduling |
28 | Christophe Clavier, Jean-Sébastien Coron, Nora Dabbous |
Differential Power Analysis in the Presence of Hardware Countermeasures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHES ![In: Cryptographic Hardware and Embedded Systems - CHES 2000, Second International Workshop, Worcester, MA, USA, August 17-18, 2000, Proceedings, pp. 252-263, 2000, Springer, 3-540-41455-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
hardware countermeasure, random process interrupt, smart card, Power analysis |
28 | Xiaodong Wang, Vwani P. Roychowdhury |
Minimizing communication overhead for matrix inversion algorithms on hypercubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 446-450, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
matrix inversion algorithms, Gauss-Jordan inversion algorithms, parallel inversion algorithms, MIMD hypercube, parallel algorithms, performance analysis, hypercubes, communication complexity, hypercube networks, minimisation, data partitioning, communication overhead, matrix inversion, interrupt handling, asynchronous message passing |
28 | Heejo Lee, Kenji Toda, Jong Kim 0001, Kenji Nishida, Eiichi Takahashi, Yoshinori Yamaguchi |
Performance comparison of real-time architectures using simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2nd International Workshop on Real-Time Computing Systems and Applications, October 25 - 27, 1995, Tokyo, Japan, pp. 150-, 1995, IEEE Computer Society, 0-8186-7106-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-time architectures, discrete event-driven, task-based simulator, priority-based communication, simulation, schedulability, performance evaluation, real-time systems, parallel processing, predictability, distributed processing, discrete event simulation, performance prediction, network architectures, processor, distributed real-time systems, performance comparison, scheduling policy, parallel computer systems, dedicated processor, interrupt handling |
28 | John Drummond, Michael Wu |
A low level analysis of the realtime Mach distributed operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 1st IEEE Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995, pp. 46-47, 1995, IEEE Computer Society, 0-8186-6980-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-time Mach distributed operating system, low level analysis, real-time operating system software development arena, segmented appraisal, specific element analysis, Rhealstone benchmark, task switch time, preemption time, interrupt latency time, semaphore shuffling time, deadlock breaking time, interprocess communication latency time, conditioned environment, evaluation, real-time systems, distributed processing, software performance evaluation, operating systems (computers), network operating systems, measuring techniques |
28 | Thomas Scholz, Michael Schäfers 0003 |
An improved dynamic register array concept for high-performance RISC processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 181-190, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
dynamic register array concept, high-performance RISC processors, processor registers, Multi Windows, Threaded Windows, dynamic register array, dynamic register allocation, general purpose registers, fast context switches, short interrupt latency, exception routines, real time systems, data structures, data structures, interrupts, storage allocation, external memory, registers, reduced instruction set computing |
28 | Sreeram Duvvuru, Siamak Arya |
Evaluation of a branch target address cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 173-180, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes |
28 | Venkatesh Akella, Ganesh Gopalakrishnan |
Specification and Validation of Control-Intensive IC's in hopCP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 20(6), pp. 405-423, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
control-intensive integrated circuits, hopCP, asynchronous operations, multiple concurrent threads, Intel 8251, Universal Synchronous/Asynchronous Receiver/Transmitter, USART, synchronous message passing, distributed shared variables, asynchronous ports, compiled-code concurrent functional simulator, CFSIM, formal specification, formal methods, formal verification, specification, validation, message passing, specification languages, interrupt, digital simulation, hardware description language, microprocessor chips, hardware design, polling, computational requirements, synchronous operations |
28 | Narate Taerat, Nichamon Naksinehaboon, Clayton Chandler, James Elliott, Chokchai Leangsuksun, George Ostrouchov, Stephen L. Scott, Christian Engelmann |
Blue Gene/L Log Analysis and Time to Interrupt Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARES ![In: Proceedings of the The Forth International Conference on Availability, Reliability and Security, ARES 2009, March 16-19, 2009, Fukuoka, Japan, pp. 173-180, 2009, IEEE Computer Society, 978-1-4244-3572-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Mehrdad Reshadi, Daniel Gajski |
Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1337-1342, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Daniel Lohmann, Jochen Streicher, Olaf Spinczyk, Wolfgang Schröder-Preikschat |
Interrupt synchronization in the CiAO operating system: experiences from implementing low-level system policies by AOP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACP4IS ![In: Proceedings of the 6th workshop on Aspects, Components, and Patterns for Infrastructure Software, ACP4IS 2007, Vancouver, British Columbia, Canada, March 12, 2007, pp. 6, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
CiAO, aspect-aware operating system, aspect-oriented programming (AOP), configurability, AspectC++ |
28 | Silvia N. Schiaffino, Analía Amandi |
The IONWI Algorithm: Learning when and when not to interrupt. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP AI ![In: Artificial Intelligence in Theory and Practice, IFIP 19th World Computer Congress, TC 12: IFIP AI 2006 Stream, August 21-24, 2006, Santiago, Chile, pp. 21-30, 2006, Springer, 0-387-34654-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
human-computer interaction, intelligent agents, user profiling |
28 | Colin J. Fidge, Phil Cook |
Model Checking Interrupt-Dependent Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 12th Asia-Pacific Software Engineering Conference (APSEC 2005), 15-17 December 2005, Taipei, Taiwan, pp. 51-58, 2005, IEEE Computer Society, 0-7695-2465-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Jupyung Lee, Kyu Ho Park |
Delayed Locking Technique for Improving Real-Time Performance of Embedded Linux by Prediction of Timer Interrupt. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: 11th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2005), 7-10 March 2005, San Francisco, CA, USA, pp. 487-496, 2005, IEEE Computer Society, 0-7695-2302-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Luca Aceto, Wan J. Fokkink, Anna Ingólfsdóttir, Sumit Nain |
Bisimilarity Is Not Finitely Based over BPA with Interrupt. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CALCO ![In: Algebra and Coalgebra in Computer Science: First International Conference, CALCO 2005, Swansea, UK, September 3-6, 2005, Proceedings, pp. 52-66, 2005, Springer, 3-540-28620-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Mark A. Holliday |
System calls and interrupt vectors in an operating systems course. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 28th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 1997, San Jose, California, USA, February 27 - March 1, 1997, pp. 53-57, 1997, ACM, 0-89791-889-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
19 | Xinyu Feng 0001, Zhong Shao, Yu Guo, Yuan Dong |
Certifying Low-Level Programs with Hardware Interrupts and Preemptive Threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 42(2-4), pp. 301-347, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Operating system verification, Hardware interrupts, Preemptive threads, Thread libraries, Modularity, Separation logic, Synchronization primitives |
Displaying result #1 - #100 of 744 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ >>] |
|