The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase leakage-aware (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2004-2006 (18) 2007-2008 (16) 2009-2010 (17) 2011-2012 (18) 2013-2017 (20) 2018-2022 (16) 2023 (1)
Publication types (Num. hits)
article(36) inproceedings(70)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 55 occurrences of 37 keywords

Results
Found 106 publication records. Showing 106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
104Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
76Jason Helge Anderson, Farid N. Najm Active leakage power optimization for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
71Ping-Hung Yuh, Chia-Lin Yang, Chi-Feng Li, Chung-Hsiang Lin Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, placement, Reconfigurable computing, leakage, partially dynamical reconfiguration
56Ting Zhu 0001, Ziguo Zhong, Yu Gu 0001, Tian He 0001, Zhi-Li Zhang Leakage-aware energy synchronization for wireless sensor networks. Search on Bibsonomy MobiSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ultra-capacitor, wireless sensor networks, energy, leakage
55Jian-Jia Chen, Tei-Wei Kuo Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF job procrastination, leakage-aware scheduling, scheduling, dynamic voltage scaling, energy-aware systems
47Andrea Alimonda, Andrea Acquaviva, Salvatore Carta Temperature and Leakage Aware Power Control for Embedded Streaming Applications. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Floorplan driven leakage power aware IP-based SoC design space exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, leakage power, temperature
41Olga Golubeva, Mirko Loghi, Massimo Poncino, Enrico Macii Architectural leakage-aware management of partitioned scratchpad memories. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Chi-Feng Li, Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Post-placement leakage optimization for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-placement optimization, scheduling, field-programmable gate array, leakage
40Yan Meng, Timothy Sherwood, Ryan Kastner Leakage power reduction of embedded memories on FPGAs through location assignment. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF location assignment, leakage power, embedded memory
35Yongwen Pan, Man Lin Dynamic leakage aware power management with procrastination method. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Pepijn J. de Langen, Ben H. H. Juurlink Leakage-aware multiprocessor scheduling for low power. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Po-Kuan Huang, Soheil Ghiasi Leakage-aware intraprogram voltage scaling for embedded processors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Yuh-Fang Tsai, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Leakage-Aware Interconnect for On-Chip Network. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Jason Xue Minimizing Leakage Energy with Modulo Scheduling for VLIW DSP Processors. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Vivek Chaturvedi, Huang Huang, Gang Quan Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage-aware, scheduling, real-time, operating systems, thermal-aware
33Jian-Jia Chen, Tei-Wei Kuo Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage-aware scheduling, scheduling, dynamic voltage scaling, fixed-priority scheduling, energy-aware systems, rate-monotonic scheduling
33Jian-Jia Chen, Heng-Ruey Hsu, Tei-Wei Kuo Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Leakage-aware scheduling, Real-time and embedded systems and Task partitioning
33Simone Medardoni, Davide Bertozzi, Enrico Macii Power-optimal RTL arithmetic unit soft-macro selection strategy for leakage-sensitive technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTL synthesis, leakage-aware, power management, selection strategy
31Deepa Kannan, Aviral Shrivastava, Vipin Mohan, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Temperature and Process Variations Aware Power Gating of Functional Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Pepijn J. de Langen, Ben H. H. Juurlink Leakage-Aware Multiprocessor Scheduling. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scheduling, Multiprocessor, Leakage power, Voltage scaling
28Ziguo Zhong, Ting Zhu 0001, Tian He 0001, Zhi-Li Zhang Leakage-aware energy synchronization on twin-star nodes. Search on Bibsonomy SenSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy synchronization, twin-star, leakage
25Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Power Reduction of Functional Units Considering Temperature and Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De, T. M. Mak Statistical Estimation of Correlated Leakage Power Variation and Its Application to Leakage-Aware Design. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jian (Denny) Lin, Wei Song, Albert Mo Kim Cheng Real-energy: a new framework and a case study to evaluate power-aware real-time scheduling algorithms. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage-aware, power-aware scheduling algorithms, dynamic voltage scaling, real-time and embedded systems
17Da-Ren Chen, You-Shyang Chen An energy-aware scheduling for real-time task synchronization using DVS and leakage-aware methods. Search on Bibsonomy SIGBED Rev. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Ipoom Jeong, Jiaqi Lou, Yongseok Son, Yongjoo Park, Yifan Yuan, Nam Sung Kim LADIO: Leakage-Aware Direct I/O for I/O-Intensive Workloads. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hannah Brunner, Carlo Alberto Boano, Kay Römer Leakage-Aware Lifetime Estimation of Battery-Free Sensor Nodes Powered by Supercapacitors. Search on Bibsonomy SenSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Anjali Agrawal, Smruti R. Sarangi NanoLeak: A Fast Analytical Green's Function-based Leakage-aware Thermal Simulator. Search on Bibsonomy VLSID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Lokesh Siddhu, Rajesh Kedia, Preeti Ranjan Panda Leakage-Aware Dynamic Thermal Management of 3D Memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Hameedah Sultan, Smruti R. Sarangi A Fast Leakage-Aware Green's-Function-Based Thermal Simulator for 3-D Chips. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Hai Wang 0002, Xingxing Guo, Sheldon X.-D. Tan, Chi Zhang 0029, He Tang, Yuan Yuan 0030 Leakage-Aware Predictive Thermal Management for Multicore Systems Using Echo State Network. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Mostafa Jafari-Nodoushan, Bardia Safaei 0001, Alireza Ejlali, Jian-Jia Chen Leakage-Aware Battery Lifetime Analysis Using the Calculus of Variations. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Hameedah Sultan, Smruti R. Sarangi VarSim: A Fast and Accurate Variability and Leakage Aware Thermal Simulator. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Lokesh Siddhu, Preeti Ranjan Panda PredictNcool: Leakage Aware Thermal Management for 3D Memories Using a Lightweight Temperature Predictor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Lokesh Siddhu, Preeti Ranjan Panda FastCool: Leakage Aware Dynamic Thermal Management of 3D Memories. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Chao Zhang, Milan Mihajlovic, Vasilis F. Pavlidis Adaptive Transient Leakage-Aware Linearised Model for Thermal Analysis of 3-D ICs. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xingxing Guo, Hai Wang 0002, Chi Zhang 0029, He Tang, Yuan Yuan 0030 Leakage-aware thermal management for multi-core systems using piecewise linear model based predictive control. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Hai Wang 0002, Jiachun Wan, Sheldon X.-D. Tan, Chi Zhang 0029, He Tang, Yuan Yuan 0030, Keheng Huang, Zhenghong Zhang A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Aydin Dirican, Cagatay Ozmen, Martin Margala Leakage-Aware Droop Measurement Built-in Self-Test Circuit for Digital Low-Dropout Regulators. Search on Bibsonomy J. Electron. Test. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Sujit Rokka Chhetri, Sina Faezi, Mohammad Abdullah Al Faruque Information Leakage-Aware Computer-Aided Cyber-Physical Manufacturing. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Tingyu Zhou, Tieyuan Pan, Zhiguo Bao, Takahiro Watanabe A Time-based Leakage-aware Algorithm for Task Placement and Scheduling Problem on Dynamic Reconfigurable FPGA. Search on Bibsonomy ICSAI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Long Hoang Vu, Ji-Hoon Yun Power Leakage-Aware Multi-Carrier LBT for LTE-LAA in Unlicensed Spectrum. Search on Bibsonomy DySPAN The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Mayuri Digalwar, Biju K. Raveendran, Sudeept Mohan LAMCS: A leakage aware DVFS based mixed task set scheduler for multi-core processors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Shibaji Banerjee, Jimson Mathew An Optimal Leakage-Aware Approach for Nano-CMOS Post-Physical-Optimization. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Sujit Rokka Chhetri, Sina Faezi, Mohammad Abdullah Al Faruque Fix the leak! an information leakage aware secured cyber-physical manufacturing system. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Chao Yan, Hengliang Zhu, Dian Zhou, Xuan Zeng 0001 An efficient leakage-aware thermal simulation approach for 3D-ICs using corrected linearized model and algebraic multigrid. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Hameedah Sultan, Smruti R. Sarangi A fast leakage aware thermal simulator for 3D chips. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Hermann Seuschek, Fabrizio De Santis, Oscar M. Guillen Side-channel leakage aware instruction scheduling. Search on Bibsonomy CS2@HiPEAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Pham Nam Khanh, Akash Kumar 0001, Amit Kumar Singh 0002, Khin Mi Mi Aung Leakage aware resource management approach with machine learning optimization framework for partially reconfigurable architectures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
13Marina Zapater, Ozan Tuncer, José Luis Ayala, José Manuel Moya, Kalyan Vaidyanathan, Kenny C. Gross, Ayse K. Coskun Leakage-Aware Cooling Management for Improving Server Energy Efficiency. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Daosen Zhai, Min Sheng, Xijun Wang 0001, Yuzhou Li 0001 Leakage-Aware Dynamic Resource Allocation in Hybrid Energy Powered Cellular Networks. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Vivek Chaturvedi, Basant K. Mohanty, Thambipillai Srikanthan Leakage-aware intra-task dynamic voltage scaling technique for energy reduction in real-time embedded systems. Search on Bibsonomy DSP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Daniele Rossi 0001, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi NBTI and leakage aware sleep transistor design for reliable and energy efficient power gating. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Daniele Rossi 0001, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi BTI and leakage aware dynamic voltage scaling for reliable low power cache memories. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Morteza Mohaqeqi, Mehdi Kargahi, Ali Movaghar 0001 Analytical Leakage-Aware Thermal Modeling of a Real-Time System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Shiwen He, Yongming Huang, Haiming Wang, Shi Jin 0002, Luxi Yang Leakage-Aware Energy-Efficient Beamforming for Heterogeneous Multicell Multiuser Systems. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Smruti R. Sarangi, Gayathri Ananthanarayanan, M. Balakrishnan LightSim: A leakage aware ultrafast temperature simulator. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Florin Balasa, Noha Abuaesh, Cristian V. Gingu, Doru V. Nasui Leakage-aware scratch-pad memory banking for embedded multidimensional signal processing. Search on Bibsonomy ICASSP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Pham Nam Khanh, Amit Kumar Singh 0002, Akash Kumar 0001 A multi-stage leakage aware resource management technique for reconfigurable architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Linwei Niu, Gang Quan Leakage-aware scheduling for embedded real-time systems with (m, k)-constraints. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Bijun Peng, Wei Chen 0002, Yu Zhang, Ming Lei, Zhigang Cao 0001, Sheng Zhou 0001 A Leakage-Aware CS/CB Scheme for Heterogeneous CoMP Networks with Layered Limited Feedback. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Mohammad Javad Dousti, Massoud Pedram Platform-dependent, leakage-aware control of the driving current of embedded thermoelectric coolers. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Weixun Wang, Prabhat Mishra 0001 System-Wide Leakage-Aware Energy Minimization Using Dynamic Voltage Scaling and Cache Reconfiguration in Multitasking Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Saman Khoshbakht, Amirali Baniasadi Leakage-Aware Speculative Branch Target Buffer. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Huang Huang, Vivek Chaturvedi, Guanglei Liu, Gang Quan Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Seong Min Jo, Yong Ho Song Leakage-aware adaptive routing for pipelined on-chip networks in ultra-deep sub-micron technologies. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Vivek Chaturvedi, Huang Huang, Shangping Ren, Gang Quan On the fundamentals of leakage aware real-time DVS scheduling for peak temperature minimization. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Huang Huang, Ming Fan 0001, Gang Quan On-line leakage-aware energy minimization scheduling for hard real-time systems. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Kan Wang, Sheqin Dong, Yuchun Ma, Satoshi Goto, Jason Cong Leakage-aware performance-driven TSV-planning based on network flow algorithm in 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Yong Guan, Jingling Xue Leakage-Aware Modulo Scheduling for Embedded VLIW Processors. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Kan Wang, Sheqin Dong, Yuchun Ma, Yu Wang 0002, Xianlong Hong, Jason Cong Leakage-Aware TSV-Planning with Power-Temperature-Delay Dependence in 3D ICs. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Hyunhee Kim, Jihong Kim 0001 A leakage-aware L2 cache management technique for producer-consumer sharing in low-power chip multiprocessors. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Ryo Shigeta, Yoshihiro Kawahara, Tohru Asami Capacitor leakage aware duty cycle control for energy harvesting wireless sensor networks. Search on Bibsonomy SenSys The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Muhammad Ali Awan, Stefan M. Petters Enhanced Race-To-Halt: A Leakage-Aware Energy Management Approach for Dynamic Priority Systems. Search on Bibsonomy ECRTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Huang Huang, Gang Quan Leakage aware energy minimization for real-time systems under the maximum temperature constraint. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Jen-Wei Hsieh, Yuan-Hao Chang 0001, Wei-Li Lee An enhanced leakage-aware scheduler for dynamically reconfigurable FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Yufu Zhang, Ankur Srivastava 0001 Leakage-aware Kalman filter for accurate temperature tracking. Search on Bibsonomy IGCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Seokjoong Kim, Matthew R. Guthaus Leakage-aware redundancy for reliable sub-threshold memories. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Jinming Yue, Tiefei Zhang, Licheng Yu, Tianzhou Chen Leakage Aware Scheduling for Maximum Temperature Minimization. Search on Bibsonomy PDCAT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Gang Quan, Shangping Ren Leakage-aware real-time scheduling for maximal temperature minimization. Search on Bibsonomy SIGBED Rev. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Hongtao Huang, Feng Xia 0001, Jijie Wang, Siyu Lei, Guowei Wu Leakage-Aware Reallocation for Periodic Real-Time Tasks on Multicore Processors Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
13Meng Wang 0005, Yi Wang 0003, Duo Liu, Zhiwei Qin 0004, Zili Shao Compiler-assisted leakage-aware loop scheduling for embedded VLIW DSP processors. Search on Bibsonomy J. Syst. Softw. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Linwei Niu, Gang Quan Leakage-Aware Scheduling for Real-Time Systems with (m, k)-Constraints. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Hongtao Huang, Feng Xia 0001, Jijie Wang, Siyu Lei, Guowei Wu Leakage-Aware Reallocation for Periodic Real-Time Tasks on Multicore Processors. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Real-time scheduling, Energy consumption, Multicore processor, Power-aware computing
13Sanghamitra Roy, Koushik Chakraborty A convex optimization framework for leakage aware thermal provisioning in 3D multicore architectures. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Linwei Niu Leakage-Aware Scheduling for (m, k)-Firm Real-Time Systems. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  BibTeX  RDF
13Weixun Wang, Prabhat Mishra 0001 Leakage-Aware Energy Minimization Using Dynamic Voltage Scaling and Cache Reconfiguration in Real-Time Systems. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Heng Yu 0001, Bharadwaj Veeravalli, Yajun Ha Leakage-aware dynamic scheduling for real-time adaptive applications on multiprocessor systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic scheduling, adaptive applications
13Javier Castro-Ramirez, Pilar Parra Fernández, Antonio J. Acosta 0001 Switching Noise Optimization in the Wake-Up Phase of Leakage-Aware Power Gating Structures. Search on Bibsonomy PATMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Gang Quan, Yan Zhang Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real time scheduling, leakage, temperature, feasibility analysis, thermal aware
13Hyunhee Kim, Sungjun Youn, Jihong Kim 0001 A leakage-aware cache sharing technique for low-power chip multi-processors (CMPs) with private L2 caches. Search on Bibsonomy MEDEA@PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jian-Jia Chen, Lothar Thiele Expected system energy consumption minimization in leakage-aware DVS systems. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13XiaoChuan He, Yan Jia 0001 Leakage-Aware Energy Efficient Scheduling for Fixed-Priority Tasks with Preemption Thresholds. Search on Bibsonomy ADMA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yuh-Fang Tsai, Vijaykrishnan Narayanan, Yuan Xie 0001, Mary Jane Irwin Leakage-Aware Interconnect for On-Chip Network Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
13Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Volkan Kursun, Sherif A. Tawfik, Zhiyu Liu Leakage-Aware Design of Nanometer SoC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Guangyu Chen, Feihui Li, Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu Leakage-Aware SPM Management. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Narayan Subramanian, Rajarshee P. Bharadwaj, Dinesh Bhatia A leakage aware design methodology for power-gated programmable architectures. Search on Bibsonomy FPT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester Bus encoding for total power reduction using a leakage-aware buffer configuration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 106 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license