|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 140 occurrences of 102 keywords
|
|
|
Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
91 | Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan |
A temperature-aware virtual submesh allocation scheme for noc-based manycore chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 182-184, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
manycore chips, submesh allocation, algorithm, noc, temperature |
66 | Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi |
McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 469-480, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
66 | Phuong Hoai Ha, Philippas Tsigas, Otto J. Anshus |
NB-FEB: A Universal Scalable Easy-to-Use Synchronization Primitive for Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OPODIS ![In: Principles of Distributed Systems, 13th International Conference, OPODIS 2009, Nîmes, France, December 15-18, 2009. Proceedings, pp. 189-203, 2009, Springer, 978-3-642-10876-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
66 | Lin Huang 0002, Qiang Xu 0001 |
On Modeling the Lifetime Reliability of Homogeneous Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 14th IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 2008, 15-17 December 2008, Taipei, Taiwan, pp. 87-94, 2008, IEEE Computer Society, 978-0-7695-3448-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
58 | Sachin S. Sapatnekar, Eshel Haritan, Kurt Keutzer, Anirudh Devgan, Desmond Kirkpatrick, Stephen Meier, Duaine Pryor, Tom Spyrou |
Reinventing EDA with manycore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 126-127, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallelization, CAD, software, multicore, EDA, speedup, manycore |
50 | David A. Patterson 0001 |
Software knows best: portable parallelism requires standardized measurements of transparent hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOSP/SIPEW ![In: Proceedings of the first joint WOSP/SIPEW International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010, pp. 1-2, 2010, ACM, 978-1-60558-563-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
standardized |
50 | Adam A. Porter, Victor Pankratius, Lawrence G. Votta |
International workshop on multicore software engineering (IWMSE 2009). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE Companion ![In: 31st International Conference on Software Engineering, ICSE 2009, May 16-24, 2009, Vancouver, Canada, Companion Volume, pp. 470-471, 2009, IEEE, 978-1-4244-3494-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
50 | Victor Pankratius, Walter F. Tichy |
International workshop on multicore software engineering (IWMSE 2008). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE Companion ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, Companion Volume, pp. 1051-1052, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
concurrency, synchronization, static analysis, patterns, openmp, threads, cell, multicore systems, x10 |
49 | Suzanne Rivoire |
A breadth-first course in multicore and manycore programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 41st ACM technical symposium on Computer science education, SIGCSE 2010, Milwaukee, Wisconsin, USA, March 10-13, 2010, pp. 214-218, 2010, ACM, 978-1-4503-0006-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicore programming education, parallel programming education, tbb, openmp, cuda |
49 | Michael Boyer, David Tarjan, Scott T. Acton, Kevin Skadron |
Accelerating leukocyte tracking using CUDA: A case study in leveraging manycore coprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-12, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
49 | Nadathur Satish, Mark J. Harris, Michael Garland |
Designing efficient sorting algorithms for manycore GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-10, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
49 | Lei Zhang 0008, Yinhe Han 0001, Qiang Xu 0001, Xiaowei Li 0001 |
Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 891-896, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
49 | Sangyeun Cho, Socrates Demetriades, Shayne Evans, Lei Jin 0002, Hyunjin Lee, Kiyeon Lee, Michael Moeng |
TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA, pp. 446-453, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
41 | Hun Jung, Miao Ju, Hao Che |
A Theoretical Framework for Design Space Exploration of Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 2011, 19th Annual IEEE/ACM International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Singapore, 25-27 July, 2011, pp. 117-125, 2011, IEEE Computer Society, 978-1-4577-0468-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
CMP, multicore, design space exploration, queuing network, manycore |
41 | Pierre Michaud, Yiannakis Sazeides, André Seznec |
Proposition for a sequential accelerator in future general-purpose manycore processors and the problem of migration-induced cache misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 237-246, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
activity migration, sequential performance, power, multicore, temperature, cache misses, manycore |
41 | Amr M. Bayoumi, Yasser Y. Hanafy |
Massive parallelization of SPICE device model evaluation on GPU-based SIMD architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, IFMT 2008, Cairo, Egypt, November 24-25, 2008, pp. 12, 2008, ACM, 978-1-60558-407-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
BSIM, parallel computing, graphics processing units, GPGPU, SIMD, SPICE, manycore |
33 | Victor Pankratius, Michael Philippsen |
New Horizons in Multicore Software Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (2) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 431-432, 2010, ACM. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
33 | Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic |
RAMP gold: an FPGA-based architecture simulator for multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 463-468, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
simulation, FPGA, multiprocessors |
33 | John Nickolls, Ian Buck, Michael Garland, Kevin Skadron |
Scalable Parallel Programming with CUDA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Queue ![In: ACM Queue 6(2), pp. 40-53, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Jike Chong, Nadathur Satish, Bryan Catanzaro, Kaushik Ravindran, Kurt Keutzer |
Efficient Parallelization of H.264 Decoding with Macro Block Level Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China, pp. 1874-1877, 2007, IEEE Computer Society, 1-4244-1017-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Andrew A. Chien |
Pervasive parallel computing: an historic opportunity for innovation in programming and architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2007, San Jose, California, USA, March 14-17, 2007, pp. 160, 2007, ACM, 978-1-59593-602-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Roberto R. Osorio, Javier D. Bruguera |
An FPGA architecture for CABAC decoding in manycore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 19th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2008, July 2-4, 2008, Leuven, Belgium, pp. 293-298, 2008, IEEE Computer Society, 978-1-4244-1897-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Christopher Batten, Ajay Joshi, Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Milos A. Popovic, Hanqing Li, Henry I. Smith, Judy L. Hoyt, Franz X. Kärtner, Rajeev J. Ram, Vladimir Stojanovic, Krste Asanovic |
Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Interconnects ![In: 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 26-28 August 2008, Stanford, CA, USA, pp. 21-30, 2008, IEEE Computer Society, 978-0-7695-3380-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Michael Garland |
Sparse matrix computations on manycore GPU's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 2-6, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel programming, GPU computing, shortest path algorithms, data-parallel algorithms, sparse matrix-vector multiplication |
32 | Xiaochun Ye, Van Hoa Nguyen, Dominique Lavenier, Dongrui Fan |
Efficient Parallelization of a Protein Sequence Comparison Algorithm on Manycore Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2008, Dunedin, Otago, New Zealand, 1-4 December 2008, pp. 167-170, 2008, IEEE Computer Society, 978-0-7695-3443-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Sangyeun Cho, Lei Jin 0002, Kiyeon Lee |
Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea, pp. 3-11, 2007, IEEE Computer Society, 0-7695-2975-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Pedro Henrique Penna |
Nanvix : A Distributed Operating System for Lightweight Manycore Processors. (Nanvix : un système d'exploitation distribué pour les processeurs manycore légers). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2021 |
RDF |
|
32 | Hugo Taboada |
Recouvrement des Collectives MPI Non-bloquantes sur Processeur Manycore. (MPI Non-Blocking Collective Overlap on Manycore Processor). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
32 | Hoby Rakotoarivelo |
Contributions au co-design de noyaux irréguliers sur architectures manycore: cas du remaillage anisotrope multi-échelle en mécanique des fluides numérique. (Contributions to the co-design of irregular kernels on manycore architectures: case of the anisotropic multi-scale mesh adaptation involved in computational fluid dynamics). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
32 | Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin J. M. Martin, Benoît Dupont de Dinechin, Jean-François Nezan |
Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM@HiPEAC ![In: Proceedings of the 9th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and 7th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM@HiPEAC 2018, Manchester, United Kingdom, January 23-23, 2018, pp. 51-56, 2018, ACM, 978-1-4503-6444-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
32 | Clement Devigne |
Exécution sécurisée de plusieurs machines virtuelles sur une plateforme Manycore. (Executing secured virtual machines within a Manycore architecture). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2017 |
RDF |
|
32 | Van Toan Dao |
Calcul à haute performance et simulations stochastiques : Etude de la reproductibiité numérique sur architectures multicore et manycore. (High performance computing and stochastic simulation : Study of numerical reproducibility on multicore and manycore architectures). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2017 |
RDF |
|
32 | Hao Liu 0022 |
Protocoles scalables de cohérence des caches pour processeurs manycore à espace d'adressage partagé visant la basse consommation. (Scalable cache coherence protocols for energy-efficient shared memory manycore processors). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2016 |
RDF |
|
32 | Pierre Guillou |
Compilation efficace d'applications de traitement d'images pour processeurs manycore. (Efficient Compilation of Image Processing Applications for Manycore Processors). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2016 |
RDF |
|
32 | Pierre Schweitzer |
Simulations parallèles de Monte Carlo appliquées à la Physique des Hautes Energies pour plates-formes manycore et multicore : mise au point, optimisation, reproductibilité. (Monte Carlo parallel simulations applied to the High Energy Physics for manycore and multicore platforms : development, optimisation, reproducibility). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
32 | Haruka Mori, Kenji Kise |
Design and Performance Evaluation of a Manycore Processor for Large FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 207-214, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
32 | Paraskevas Bourgos |
Rigorous Design Flow for Programming Manycore Platforms. (Flot de conception rigoureux pour la programmation de plates-formes manycore.). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
25 | Jonas Diemer, Rolf Ernst |
Back Suction: Service Guarantees for Latency-Sensitive On-chip Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: NOCS 2010, Fourth ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010, pp. 155-162, 2010, IEEE Computer Society, 978-0-7695-4053-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Latency-Sensitive, Quality of Service, QoS, Real-Time, NoC, Manycore |
25 | Alexander Heinecke, Carsten Trinitis, Josef Weidendorfer |
Porting existing cache-oblivious linear algebra HPC modules to larrabee architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 91-92, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
accelerator space-filling curve, openmp, matrix multiplication, cache-oblivious, lu decomposition, manycore |
25 | Oreste Villa, Gianluca Palermo, Cristina Silvano |
Efficiency and scalability of barrier synchronization on NoC based many-core architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 81-90, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scalability, synchronization, efficiency, Multicore, NoC, barrier, Manycore |
25 | Bryan Catanzaro, Kurt Keutzer, Bor-Yiing Su |
Parallelizing CAD: a timely research agenda for EDA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 12-17, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallelization, framework, pattern, manycore |
25 | Jesse Z. Fang |
Parallel programming environment: a key to translating tera-scale platforms into a big success. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2007, San Jose, California, USA, March 14-17, 2007, pp. 1, 2007, ACM, 978-1-59593-602-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
software tools, parallel programming models, manycore |
17 | John A. Stratton, Vinod Grover, Jaydeep Marathe, Bastiaan Aarts, Mike Murphy, Ziang Hu, Wen-mei W. Hwu |
Efficient compilation of fine-grained SPMD-threaded programs for multicore CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 111-119, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicore, CUDA, CPU, SPMD |
17 | Victor Pankratius, Walter F. Tichy, Peter Hinsbeeck |
Multicore software engineering: the next challenge in software engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (2) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 487, 2010, ACM. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Scott Beamer, Chen Sun 0003, Yong-Jin Kwon, Ajay Joshi, Christopher Batten, Vladimir Stojanovic, Krste Asanovic |
Re-architecting DRAM memory systems with monolithically integrated silicon photonics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 129-140, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dram architecture, energy-efficiency, silicon photonics |
17 | Surendra Byna, Jiayuan Meng, Anand Raghunathan, Srimat T. Chakradhar, Srihari Cadambi |
Best-effort semantic document search on GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPGPU ![In: Proceedings of 3rd Workshop on General Purpose Processing on Graphics Processing Units, GPGPU 2010, Pittsburgh, Pennsylvania, USA, March 14, 2010, pp. 86-93, 2010, ACM, 978-1-60558-935-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
best-effort computing, dependency relaxation, supervised semantic indexing, GPGPU, CUDA, document search |
17 | Cor Meenderinck, Arnaldo Azevedo, Ben H. H. Juurlink, Mauricio Alvarez 0001, Alex Ramírez |
Parallel Scalability of Video Decoders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 57(2), pp. 173-194, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Scalability, Parallel processing, Chip multiprocessors, H.264, Video codecs |
17 | Ali Bakhoda, George L. Yuan, Wilson W. L. Fung, Henry Wong, Tor M. Aamodt |
Analyzing CUDA workloads using a detailed GPU simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings, pp. 163-174, 2009, IEEE Computer Society, 978-1-4244-4184-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | David P. Luebke |
Graphics hardware & GPU computing: past, present, and future. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Interface ![In: Proceedings of the Graphics Interface 2009 Conference, May 25-27, 2009, Kelowna, British Columbia, Canada, pp. 6, 2009, ACM Press, 978-1-56881-470-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
17 | David Tarjan, Jiayuan Meng, Kevin Skadron |
Increasing memory miss tolerance for SIMD cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Michal Cernanský |
Training Recurrent Neural Network Using Multistream Extended Kalman Filter on Multicore Processor and Cuda Enabled Graphic Processor Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICANN (1) ![In: Artificial Neural Networks - ICANN 2009, 19th International Conference, Limassol, Cyprus, September 14-17, 2009, Proceedings, Part I, pp. 381-390, 2009, Springer, 978-3-642-04273-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Seunghwa Kang, David A. Bader |
An efficient transactional memory algorithm for computing minimum spanning forest of sparse graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2009, Raleigh, NC, USA, February 14-18, 2009, pp. 15-24, 2009, ACM, 978-1-60558-397-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
minimum spanning forest, transactional memory, minimum spanning tree |
17 | Larry Seiler, Doug Carmean, Eric Sprangle, Tom Forsyth, Michael Abrash, Pradeep Dubey, Stephen Junkins, Adam T. Lake, Jeremy Sugerman, Robert Cavin, Roger Espasa, Ed Grochowski, Toni Juan, Pat Hanrahan |
Larrabee: a many-core x86 architecture for visual computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 27(3), pp. 18, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
graphics architecture, many-core computing, realtime graphics, software rendering, throughput computing, visual computing, parallel processing, GPGPU, SIMD |
17 | Carsten Trinitis, Martin Schulz 0001 |
7th International Special Session on Current Trends in Numerical Simulation for Parallel Engineering Environments: New Directions and Work-in-Progress (ParSim 2008). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 15th European PVM/MPI Users' Group Meeting, Dublin, Ireland, September 7-10, 2008. Proceedings, pp. 283-284, 2008, Springer, 978-3-540-87474-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | David P. Luebke |
CUDA: Scalable parallel programming for high-performance scientific computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2008 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008, pp. 836-838, 2008, IEEE, 978-1-4244-2003-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Michael Bader |
Exploiting the Locality Properties of Peano Curves for Parallel Matrix Multiplication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 801-810, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Matthew Fluet, Mike Rainey, John H. Reppy |
A scheduling framework for general-purpose parallel languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceeding of the 13th ACM SIGPLAN international conference on Functional programming, ICFP 2008, Victoria, BC, Canada, September 20-28, 2008, pp. 241-252, 2008, ACM, 978-1-59593-919-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
heterogeneous parallel languages, scheduling, compilers, run-time systems |
17 | Reiner W. Hartenstein |
The von Neumann Syndrome and the CS Education Dilemma. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, 4th International Workshop, ARC 2008, London, UK, March 26-28, 2008. Proceedings, pp. 3, 2008, Springer, 978-3-540-78609-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Joel R. Phillips, Kurt Keutzer, Michael Wrinn |
Architecting parallel programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 4, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Tirath Ramdas, Gregory K. Egan, David Abramson 0001, Kim K. Baldridge |
Run-time thread sorting to expose data-level parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 19th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2008, July 2-4, 2008, Leuven, Belgium, pp. 55-60, 2008, IEEE Computer Society, 978-1-4244-1897-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Fei Wei, Huazhong Yang |
Directed transmission method, a fully asynchronous approach to solve sparse linear systems in parallel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 365, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
convergence theory, directed transmission method (dtm), virtual transmission method (vtm), distributed algorithm, sparse linear system, asynchronous algorithm |
17 | Tim Mattson, Michael Wrinn |
Parallel programming: can we PLEASE get it right this time? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 7-11, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel computing, design patterns |
17 | Carsten Trinitis, Martin Schulz 0001 |
6th International Special Session on Current Trends in Numerical Simulation for Parallel Engineering Environments New Directions and Work-in-Progress ParSim 2007. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 14th European PVM/MPI User's Group Meeting, Paris, France, September 30 - October 3, 2007, Proceedings, pp. 354-355, 2007, Springer, 978-3-540-75415-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Robert Schreiber |
Manycores in the Future. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings, pp. 5, 2007, Springer, 978-3-540-75443-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Jiulong Shan, Yurong Chen 0001, Qian Diao, Yimin Zhang 0002 |
Parallel Information Extraction on Shared Memory Multi-processor System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2006 International Conference on Parallel Processing (ICPP 2006), 14-18 August 2006, Columbus, Ohio, USA, pp. 311-318, 2006, IEEE Computer Society, 0-7695-2636-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | John L. Gustafson |
Reevaluating Amdahl's Law. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 31(5), pp. 532-533, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
16 | Min Tian, Qi Liu, Jingshan Pan, Ying Gou, Zanjun Zhang |
swPTS: an efficient parallel Thomas split algorithm for tridiagonal systems on Sunway manycore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 80(4), pp. 4682-4706, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Samuel Riedel, Marc Gantenbein, Alessandro Ottaviano, Torsten Hoefler, Luca Benini |
LRSCwait: Enabling Scalable and Efficient Synchronization in Manycore Systems through Polling-Free and Retry-Free Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.09359, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Sergio Mazzola, Samuel Riedel, Luca Benini |
Enabling Efficient Hybrid Systolic Computation in Shared L1-Memory Manycore Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.12986, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Fatemeh Hossein Khani, Omid Akbari, Muhammad Shafique 0001 |
A Two-Level Thermal Cycling-aware Task Mapping Technique for Reliability Management in Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.06134, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Harsh Sharma, Gaurav Narang, Janardhan Rao Doppa, Ümit Y. Ogras, Partha Pratim Pande |
Dataflow-Aware PIM-Enabled Manycore Architecture for Deep Learning Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.19073, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Sahand Kashani, Mahyar Emami, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus |
A 475 MHz Manycore FPGA Accelerator for RTL Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2024, Monterey, CA, USA, March 3-5, 2024, pp. 78-84, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Jan Finkbeiner, Thomas Gmeinder, Mark Pupilli, Alexander Titterton, Emre Neftci |
Harnessing Manycore Processors with Distributed Memory for Accelerated Training of Sparse and Recurrent Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAAI ![In: Thirty-Eighth AAAI Conference on Artificial Intelligence, AAAI 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, IAAI 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, EAAI 2014, February 20-27, 2024, Vancouver, Canada, pp. 11996-12005, 2024, AAAI Press. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | João Fellipe Uller, João Vicente Souto, Pedro Henrique Penna, Márcio Castro 0001, Henrique Cota de Freitas, Jean-François Méhaut |
LWMPI: An MPI library for NoC-based lightweight manycore processors with on-chip memory constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 35(17), 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Ramesh Sambangi, Arun Sammit Pandey, Kanchan Manna, Sudipta Mahapatra, Santanu Chattopadhyay |
Application Mapping Onto Manycore Processor Architectures Using Active Search Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 31(6), pp. 789-801, June 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Samuel Riedel, Matheus A. Cavalcante, Renzo Andri, Luca Benini |
MemPool: A Scalable Manycore Architecture With a Low-Latency Shared L1 Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 72(12), pp. 3561-3575, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Xin Li 0042, Zhi Li, Yaqi Ju, Xiaofei Zhang, Rongyao Wang, Wei Zhou 0020 |
COP: A Combinational Optimization Power Budgeting Method for Manycore Systems in Dark Silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 72(5), pp. 1356-1370, May 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Gaurav Narang, Aryan Deshwal, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, Partha Pratim Pande |
Dynamic Power Management in Large Manycore Systems: A Learning-to-Search Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 28(5), pp. 84:1-84:21, September 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Samuel Riedel, Matheus A. Cavalcante, Renzo Andri, Luca Benini |
MemPool: A Scalable Manycore Architecture with a Low-Latency Shared L1 Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2303.17742, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Jan Finkbeiner, Thomas Gmeinder, Mark Pupilli, Alexander Titterton, Emre Neftci |
Harnessing Manycore Processors with Distributed Memory for Accelerated Training of Sparse and Recurrent Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2311.04386, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Ali Shoker, Paulo Esteves Veríssimo, Marcus Völp |
The Path to Fault- and Intrusion-Resilient Manycore Systems on a Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2307.01783, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Haoran Lin, Lifeng Yan, Qixin Chang, Haitian Lu, Chenlin Li, Quanjie He, Zeyu Song, Xiaohui Duan, Zekun Yin, Yuxuan Li, Zhao Liu, Wei Xue, Haohuan Fu, Lin Gan, Guangwen Yang, Weiguo Liu |
O2ATH: An OpenMP Offloading Toolkit for the Sunway Heterogeneous Manycore Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2309.04945, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Sirui Qi, Yingheng Li, Sudeep Pasricha, Ryan Gary Kim |
MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2303.06169, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Marcelo Orenes-Vera, Esin Tureci, Margaret Martonosi, David Wentzlaff |
Muchisim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2312.10244, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Abeer Shdefat, Saad Bani-Mohammad, Ismail Ababneh |
On Allocation Algorithms for Manycore Systems With Network on Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Grid High Perform. Comput. ![In: Int. J. Grid High Perform. Comput. 15(1), pp. 1-22, March 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Mitsuhisa Sato, Miwako Tsuji |
OpenACC Execution Models for Manycore Processor with ARM SVE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPC Asia Workshops ![In: Proceedings of the HPC Asia 2023 Workshops, HPC Asia 2023, Singapore, 27 February 2023 - 2 March 2023, pp. 73-77, 2023, ACM, 978-1-4503-9989-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Ali Shoker, Paulo Esteves Veríssimo, Marcus Völp |
The Path to Fault- and Intrusion-Resilient Manycore Systems on a Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN-S ![In: 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2023 - Supplemental Volume, Porto, Portugal, June 27-30, 2023, pp. 157-162, 2023, IEEE, 979-8-3503-2545-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Harsh Sharma, Sumit K. Mandal, Janardhan Rao Doppa, Ümit Y. Ogras, Partha Pratim Pande |
Achieving Datacenter-scale Performance through Chiplet-based Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023, pp. 1-6, 2023, IEEE, 978-3-9819263-7-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Sirui Qi, Yingheng Li, Sudeep Pasricha, Ryan Gary Kim |
MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023, pp. 1-6, 2023, IEEE, 978-3-9819263-7-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Fei Gao 0016, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul J. Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu 0001, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca P. Carloni, David Wentzlaff |
DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: IEEE Custom Integrated Circuits Conference, CICC 2023, San Antonio, TX, USA, April 23-26, 2023, pp. 1-2, 2023, IEEE, 979-8-3503-9948-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Gaurav Narang, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, Partha Pratim Pande |
Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2023, Vienna, Austria, August 7-8, 2023, pp. 1-6, 2023, IEEE, 979-8-3503-1175-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Fabian Lesniak, Nidhi Anantharajaiah, Tanja Harbaum, Jürgen Becker 0001 |
Non-Intrusive Runtime Monitoring for Manycore Prototypes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DroneSE/RAPIDO@HiPEAC ![In: Proceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems, RAPIDO 2023, Toulouse, France, January 17-18, 2023., pp. 31-38, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Yoshiki Kawanishi, Patrick Finnerty, Tomio Kamada, Chikara Ohta |
Distributed Cell Set : A Library for Space-Dependent Communication/Computation Overlap on Manycore Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PMAM@PPoPP ![In: Proceedings of the 14th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2023, Montreal, QC, Canada, 25 February 2023 - 1 March 2023, pp. 11-19, 2023, ACM, 979-8-4007-0115-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Lin Cheng, Max Ruttenberg, Dai Cheol Jung, Dustin Richmond, Michael B. Taylor, Mark Oskin, Christopher Batten |
Beyond Static Parallel Loops: Supporting Dynamic Task Parallelism on Manycore Architectures with Software-Managed Scratchpad Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS (3) ![In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, ASPLOS 2023, Vancouver, BC, Canada, March 25-29, 2023, pp. 46-58, 2023, ACM, 978-1-4503-9918-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Yuxuan Liu, Tianqiang Xu, Zeyu Mi, Zhichao Hua 0001, Binyu Zang, Haibo Chen 0001 |
CPS: A Cooperative Para-virtualized Scheduling Framework for Manycore Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS (4) ![In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 4, ASPLOS 2023, Vancouver, BC, Canada, March 25-29, 2023, pp. 43-56, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
16 | Philipp Gypser |
Mechanisms for energy-efficient processor allocation and redistribution on manycore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2023 |
RDF |
|
16 | Eric T. Phipps, Roger P. Pawlowski, Christian Trott |
Automatic Differentiation of C++ Codes on Emerging Manycore Architectures with Sacado. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 48(4), pp. 43:1-43:29, December 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
16 | Abdul Salam, Safdar Jamil, Sungwon Jung, Sung-Soon Park 0001, Youngjae Kim 0001 |
Future-Based Persistent Spatial Data Structure for NVM-Based Manycore Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 114711-114724, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
16 | Min Tian, Junjie Wang, Zanjun Zhang, Wei Du, Jingshan Pan, Tao Liu 0029 |
swSuperLU: A highly scalable sparse direct solver on Sunway manycore architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 78(9), pp. 11441-11463, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
16 | Chawki Benchehida, Mohammed Kamel Benhaoua, Houssam-Eddine Zahaf, Giuseppe Lipari |
Memory-processor co-scheduling for real-time tasks on network-on-chip manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Syst. Archit. ![In: Int. J. High Perform. Syst. Archit. 11(1), pp. 1-11, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 835 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ >>] |
|