The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manycore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2008 (54) 2009 (24) 2010 (44) 2011 (35) 2012 (83) 2013 (52) 2014 (104) 2015 (66) 2016 (51) 2017 (65) 2018 (75) 2019 (52) 2020 (38) 2021 (39) 2022 (23) 2023 (23) 2024 (7)
Publication types (Num. hits)
article(210) book(2) incollection(7) inproceedings(559) phdthesis(51) proceedings(6)
Venues (Conferences, Journals, ...)
MCSoC(81) CoRR(41) IFMT(23) DATE(17) IPDPS(15) PARMA-DITAM@HiPEAC(12) DAC(11) J. Supercomput.(11) Euro-Par(10) RACES@SPLASH(10) ASP-DAC(9) ICCAD(9) ICCS(9) Concurr. Comput. Pract. Exp.(8) HPCS(8) ICPP(8) More (+10 of total 285)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 140 occurrences of 102 keywords

Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
91Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan A temperature-aware virtual submesh allocation scheme for noc-based manycore chips. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF manycore chips, submesh allocation, algorithm, noc, temperature
66Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
66Phuong Hoai Ha, Philippas Tsigas, Otto J. Anshus NB-FEB: A Universal Scalable Easy-to-Use Synchronization Primitive for Manycore Architectures. Search on Bibsonomy OPODIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
66Lin Huang 0002, Qiang Xu 0001 On Modeling the Lifetime Reliability of Homogeneous Manycore Systems. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
58Sachin S. Sapatnekar, Eshel Haritan, Kurt Keutzer, Anirudh Devgan, Desmond Kirkpatrick, Stephen Meier, Duaine Pryor, Tom Spyrou Reinventing EDA with manycore processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, CAD, software, multicore, EDA, speedup, manycore
50David A. Patterson 0001 Software knows best: portable parallelism requires standardized measurements of transparent hardware. Search on Bibsonomy WOSP/SIPEW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF standardized
50Adam A. Porter, Victor Pankratius, Lawrence G. Votta International workshop on multicore software engineering (IWMSE 2009). Search on Bibsonomy ICSE Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
50Victor Pankratius, Walter F. Tichy International workshop on multicore software engineering (IWMSE 2008). Search on Bibsonomy ICSE Companion The full citation details ... 2008 DBLP  DOI  BibTeX  RDF concurrency, synchronization, static analysis, patterns, openmp, threads, cell, multicore systems, x10
49Suzanne Rivoire A breadth-first course in multicore and manycore programming. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore programming education, parallel programming education, tbb, openmp, cuda
49Michael Boyer, David Tarjan, Scott T. Acton, Kevin Skadron Accelerating leukocyte tracking using CUDA: A case study in leveraging manycore coprocessors. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Nadathur Satish, Mark J. Harris, Michael Garland Designing efficient sorting algorithms for manycore GPUs. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Lei Zhang 0008, Yinhe Han 0001, Qiang Xu 0001, Xiaowei Li 0001 Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Sangyeun Cho, Socrates Demetriades, Shayne Evans, Lei Jin 0002, Hyunjin Lee, Kiyeon Lee, Michael Moeng TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Hun Jung, Miao Ju, Hao Che A Theoretical Framework for Design Space Exploration of Manycore Processors. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CMP, multicore, design space exploration, queuing network, manycore
41Pierre Michaud, Yiannakis Sazeides, André Seznec Proposition for a sequential accelerator in future general-purpose manycore processors and the problem of migration-induced cache misses. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF activity migration, sequential performance, power, multicore, temperature, cache misses, manycore
41Amr M. Bayoumi, Yasser Y. Hanafy Massive parallelization of SPICE device model evaluation on GPU-based SIMD architectures. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BSIM, parallel computing, graphics processing units, GPGPU, SIMD, SPICE, manycore
33Victor Pankratius, Michael Philippsen New Horizons in Multicore Software Engineering. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
33Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic RAMP gold: an FPGA-based architecture simulator for multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, FPGA, multiprocessors
33John Nickolls, Ian Buck, Michael Garland, Kevin Skadron Scalable Parallel Programming with CUDA. Search on Bibsonomy ACM Queue The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Jike Chong, Nadathur Satish, Bryan Catanzaro, Kaushik Ravindran, Kurt Keutzer Efficient Parallelization of H.264 Decoding with Macro Block Level Scheduling. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Andrew A. Chien Pervasive parallel computing: an historic opportunity for innovation in programming and architecture. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Roberto R. Osorio, Javier D. Bruguera An FPGA architecture for CABAC decoding in manycore systems. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Christopher Batten, Ajay Joshi, Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Milos A. Popovic, Hanqing Li, Henry I. Smith, Judy L. Hoyt, Franz X. Kärtner, Rajeev J. Ram, Vladimir Stojanovic, Krste Asanovic Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Michael Garland Sparse matrix computations on manycore GPU's. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel programming, GPU computing, shortest path algorithms, data-parallel algorithms, sparse matrix-vector multiplication
32Xiaochun Ye, Van Hoa Nguyen, Dominique Lavenier, Dongrui Fan Efficient Parallelization of a Protein Sequence Comparison Algorithm on Manycore Architecture. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Sangyeun Cho, Lei Jin 0002, Kiyeon Lee Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Pedro Henrique Penna Nanvix : A Distributed Operating System for Lightweight Manycore Processors. (Nanvix : un système d'exploitation distribué pour les processeurs manycore légers). Search on Bibsonomy 2021   RDF
32Hugo Taboada Recouvrement des Collectives MPI Non-bloquantes sur Processeur Manycore. (MPI Non-Blocking Collective Overlap on Manycore Processor). Search on Bibsonomy 2018   RDF
32Hoby Rakotoarivelo Contributions au co-design de noyaux irréguliers sur architectures manycore: cas du remaillage anisotrope multi-échelle en mécanique des fluides numérique. (Contributions to the co-design of irregular kernels on manycore architectures: case of the anisotropic multi-scale mesh adaptation involved in computational fluid dynamics). Search on Bibsonomy 2018   RDF
32Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin J. M. Martin, Benoît Dupont de Dinechin, Jean-François Nezan Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Clement Devigne Exécution sécurisée de plusieurs machines virtuelles sur une plateforme Manycore. (Executing secured virtual machines within a Manycore architecture). Search on Bibsonomy 2017   RDF
32Van Toan Dao Calcul à haute performance et simulations stochastiques : Etude de la reproductibiité numérique sur architectures multicore et manycore. (High performance computing and stochastic simulation : Study of numerical reproducibility on multicore and manycore architectures). Search on Bibsonomy 2017   RDF
32Hao Liu 0022 Protocoles scalables de cohérence des caches pour processeurs manycore à espace d'adressage partagé visant la basse consommation. (Scalable cache coherence protocols for energy-efficient shared memory manycore processors). Search on Bibsonomy 2016   RDF
32Pierre Guillou Compilation efficace d'applications de traitement d'images pour processeurs manycore. (Efficient Compilation of Image Processing Applications for Manycore Processors). Search on Bibsonomy 2016   RDF
32Pierre Schweitzer Simulations parallèles de Monte Carlo appliquées à la Physique des Hautes Energies pour plates-formes manycore et multicore : mise au point, optimisation, reproductibilité. (Monte Carlo parallel simulations applied to the High Energy Physics for manycore and multicore platforms : development, optimisation, reproducibility). Search on Bibsonomy 2015   RDF
32Haruka Mori, Kenji Kise Design and Performance Evaluation of a Manycore Processor for Large FPGA. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Paraskevas Bourgos Rigorous Design Flow for Programming Manycore Platforms. (Flot de conception rigoureux pour la programmation de plates-formes manycore.). Search on Bibsonomy 2013   RDF
25Jonas Diemer, Rolf Ernst Back Suction: Service Guarantees for Latency-Sensitive On-chip Networks. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Latency-Sensitive, Quality of Service, QoS, Real-Time, NoC, Manycore
25Alexander Heinecke, Carsten Trinitis, Josef Weidendorfer Porting existing cache-oblivious linear algebra HPC modules to larrabee architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF accelerator space-filling curve, openmp, matrix multiplication, cache-oblivious, lu decomposition, manycore
25Oreste Villa, Gianluca Palermo, Cristina Silvano Efficiency and scalability of barrier synchronization on NoC based many-core architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability, synchronization, efficiency, Multicore, NoC, barrier, Manycore
25Bryan Catanzaro, Kurt Keutzer, Bor-Yiing Su Parallelizing CAD: a timely research agenda for EDA. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, framework, pattern, manycore
25Jesse Z. Fang Parallel programming environment: a key to translating tera-scale platforms into a big success. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software tools, parallel programming models, manycore
17John A. Stratton, Vinod Grover, Jaydeep Marathe, Bastiaan Aarts, Mike Murphy, Ziang Hu, Wen-mei W. Hwu Efficient compilation of fine-grained SPMD-threaded programs for multicore CPUs. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore, CUDA, CPU, SPMD
17Victor Pankratius, Walter F. Tichy, Peter Hinsbeeck Multicore software engineering: the next challenge in software engineering. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Scott Beamer, Chen Sun 0003, Yong-Jin Kwon, Ajay Joshi, Christopher Batten, Vladimir Stojanovic, Krste Asanovic Re-architecting DRAM memory systems with monolithically integrated silicon photonics. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram architecture, energy-efficiency, silicon photonics
17Surendra Byna, Jiayuan Meng, Anand Raghunathan, Srimat T. Chakradhar, Srihari Cadambi Best-effort semantic document search on GPUs. Search on Bibsonomy GPGPU The full citation details ... 2010 DBLP  DOI  BibTeX  RDF best-effort computing, dependency relaxation, supervised semantic indexing, GPGPU, CUDA, document search
17Cor Meenderinck, Arnaldo Azevedo, Ben H. H. Juurlink, Mauricio Alvarez 0001, Alex Ramírez Parallel Scalability of Video Decoders. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scalability, Parallel processing, Chip multiprocessors, H.264, Video codecs
17Ali Bakhoda, George L. Yuan, Wilson W. L. Fung, Henry Wong, Tor M. Aamodt Analyzing CUDA workloads using a detailed GPU simulator. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17David P. Luebke Graphics hardware & GPU computing: past, present, and future. Search on Bibsonomy Graphics Interface The full citation details ... 2009 DBLP  BibTeX  RDF
17David Tarjan, Jiayuan Meng, Kevin Skadron Increasing memory miss tolerance for SIMD cores. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Michal Cernanský Training Recurrent Neural Network Using Multistream Extended Kalman Filter on Multicore Processor and Cuda Enabled Graphic Processor Unit. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Seunghwa Kang, David A. Bader An efficient transactional memory algorithm for computing minimum spanning forest of sparse graphs. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF minimum spanning forest, transactional memory, minimum spanning tree
17Larry Seiler, Doug Carmean, Eric Sprangle, Tom Forsyth, Michael Abrash, Pradeep Dubey, Stephen Junkins, Adam T. Lake, Jeremy Sugerman, Robert Cavin, Roger Espasa, Ed Grochowski, Toni Juan, Pat Hanrahan Larrabee: a many-core x86 architecture for visual computing. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graphics architecture, many-core computing, realtime graphics, software rendering, throughput computing, visual computing, parallel processing, GPGPU, SIMD
17Carsten Trinitis, Martin Schulz 0001 7th International Special Session on Current Trends in Numerical Simulation for Parallel Engineering Environments: New Directions and Work-in-Progress (ParSim 2008). Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17David P. Luebke CUDA: Scalable parallel programming for high-performance scientific computing. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Michael Bader Exploiting the Locality Properties of Peano Curves for Parallel Matrix Multiplication. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Matthew Fluet, Mike Rainey, John H. Reppy A scheduling framework for general-purpose parallel languages. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heterogeneous parallel languages, scheduling, compilers, run-time systems
17Reiner W. Hartenstein The von Neumann Syndrome and the CS Education Dilemma. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Joel R. Phillips, Kurt Keutzer, Michael Wrinn Architecting parallel programs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tirath Ramdas, Gregory K. Egan, David Abramson 0001, Kim K. Baldridge Run-time thread sorting to expose data-level parallelism. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Fei Wei, Huazhong Yang Directed transmission method, a fully asynchronous approach to solve sparse linear systems in parallel. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF convergence theory, directed transmission method (dtm), virtual transmission method (vtm), distributed algorithm, sparse linear system, asynchronous algorithm
17Tim Mattson, Michael Wrinn Parallel programming: can we PLEASE get it right this time? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel computing, design patterns
17Carsten Trinitis, Martin Schulz 0001 6th International Special Session on Current Trends in Numerical Simulation for Parallel Engineering Environments New Directions and Work-in-Progress ParSim 2007. Search on Bibsonomy PVM/MPI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Robert Schreiber Manycores in the Future. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jiulong Shan, Yurong Chen 0001, Qian Diao, Yimin Zhang 0002 Parallel Information Extraction on Shared Memory Multi-processor System. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17John L. Gustafson Reevaluating Amdahl's Law. Search on Bibsonomy Commun. ACM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Min Tian, Qi Liu, Jingshan Pan, Ying Gou, Zanjun Zhang swPTS: an efficient parallel Thomas split algorithm for tridiagonal systems on Sunway manycore processors. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Samuel Riedel, Marc Gantenbein, Alessandro Ottaviano, Torsten Hoefler, Luca Benini LRSCwait: Enabling Scalable and Efficient Synchronization in Manycore Systems through Polling-Free and Retry-Free Operation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Sergio Mazzola, Samuel Riedel, Luca Benini Enabling Efficient Hybrid Systolic Computation in Shared L1-Memory Manycore Clusters. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Fatemeh Hossein Khani, Omid Akbari, Muhammad Shafique 0001 A Two-Level Thermal Cycling-aware Task Mapping Technique for Reliability Management in Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Harsh Sharma, Gaurav Narang, Janardhan Rao Doppa, Ümit Y. Ogras, Partha Pratim Pande Dataflow-Aware PIM-Enabled Manycore Architecture for Deep Learning Workloads. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Sahand Kashani, Mahyar Emami, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus A 475 MHz Manycore FPGA Accelerator for RTL Simulation. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Jan Finkbeiner, Thomas Gmeinder, Mark Pupilli, Alexander Titterton, Emre Neftci Harnessing Manycore Processors with Distributed Memory for Accelerated Training of Sparse and Recurrent Models. Search on Bibsonomy AAAI The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16João Fellipe Uller, João Vicente Souto, Pedro Henrique Penna, Márcio Castro 0001, Henrique Cota de Freitas, Jean-François Méhaut LWMPI: An MPI library for NoC-based lightweight manycore processors with on-chip memory constraints. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ramesh Sambangi, Arun Sammit Pandey, Kanchan Manna, Sudipta Mahapatra, Santanu Chattopadhyay Application Mapping Onto Manycore Processor Architectures Using Active Search Framework. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Samuel Riedel, Matheus A. Cavalcante, Renzo Andri, Luca Benini MemPool: A Scalable Manycore Architecture With a Low-Latency Shared L1 Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xin Li 0042, Zhi Li, Yaqi Ju, Xiaofei Zhang, Rongyao Wang, Wei Zhou 0020 COP: A Combinational Optimization Power Budgeting Method for Manycore Systems in Dark Silicon. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Gaurav Narang, Aryan Deshwal, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, Partha Pratim Pande Dynamic Power Management in Large Manycore Systems: A Learning-to-Search Framework. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Samuel Riedel, Matheus A. Cavalcante, Renzo Andri, Luca Benini MemPool: A Scalable Manycore Architecture with a Low-Latency Shared L1 Memory. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jan Finkbeiner, Thomas Gmeinder, Mark Pupilli, Alexander Titterton, Emre Neftci Harnessing Manycore Processors with Distributed Memory for Accelerated Training of Sparse and Recurrent Models. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ali Shoker, Paulo Esteves Veríssimo, Marcus Völp The Path to Fault- and Intrusion-Resilient Manycore Systems on a Chip. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Haoran Lin, Lifeng Yan, Qixin Chang, Haitian Lu, Chenlin Li, Quanjie He, Zeyu Song, Xiaohui Duan, Zekun Yin, Yuxuan Li, Zhao Liu, Wei Xue, Haohuan Fu, Lin Gan, Guangwen Yang, Weiguo Liu O2ATH: An OpenMP Offloading Toolkit for the Sunway Heterogeneous Manycore Platform. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sirui Qi, Yingheng Li, Sudeep Pasricha, Ryan Gary Kim MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Marcelo Orenes-Vera, Esin Tureci, Margaret Martonosi, David Wentzlaff Muchisim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Abeer Shdefat, Saad Bani-Mohammad, Ismail Ababneh On Allocation Algorithms for Manycore Systems With Network on Chip. Search on Bibsonomy Int. J. Grid High Perform. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mitsuhisa Sato, Miwako Tsuji OpenACC Execution Models for Manycore Processor with ARM SVE. Search on Bibsonomy HPC Asia Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ali Shoker, Paulo Esteves Veríssimo, Marcus Völp The Path to Fault- and Intrusion-Resilient Manycore Systems on a Chip. Search on Bibsonomy DSN-S The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Harsh Sharma, Sumit K. Mandal, Janardhan Rao Doppa, Ümit Y. Ogras, Partha Pratim Pande Achieving Datacenter-scale Performance through Chiplet-based Manycore Architectures. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sirui Qi, Yingheng Li, Sudeep Pasricha, Ryan Gary Kim MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Fei Gao 0016, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul J. Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu 0001, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca P. Carloni, David Wentzlaff DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Gaurav Narang, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, Partha Pratim Pande Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Fabian Lesniak, Nidhi Anantharajaiah, Tanja Harbaum, Jürgen Becker 0001 Non-Intrusive Runtime Monitoring for Manycore Prototypes. Search on Bibsonomy DroneSE/RAPIDO@HiPEAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yoshiki Kawanishi, Patrick Finnerty, Tomio Kamada, Chikara Ohta Distributed Cell Set : A Library for Space-Dependent Communication/Computation Overlap on Manycore Cluster. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Lin Cheng, Max Ruttenberg, Dai Cheol Jung, Dustin Richmond, Michael B. Taylor, Mark Oskin, Christopher Batten Beyond Static Parallel Loops: Supporting Dynamic Task Parallelism on Manycore Architectures with Software-Managed Scratchpad Memories. Search on Bibsonomy ASPLOS (3) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yuxuan Liu, Tianqiang Xu, Zeyu Mi, Zhichao Hua 0001, Binyu Zang, Haibo Chen 0001 CPS: A Cooperative Para-virtualized Scheduling Framework for Manycore Machines. Search on Bibsonomy ASPLOS (4) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Philipp Gypser Mechanisms for energy-efficient processor allocation and redistribution on manycore systems. Search on Bibsonomy 2023   RDF
16Eric T. Phipps, Roger P. Pawlowski, Christian Trott Automatic Differentiation of C++ Codes on Emerging Manycore Architectures with Sacado. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Abdul Salam, Safdar Jamil, Sungwon Jung, Sung-Soon Park 0001, Youngjae Kim 0001 Future-Based Persistent Spatial Data Structure for NVM-Based Manycore Machines. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Min Tian, Junjie Wang, Zanjun Zhang, Wei Du, Jingshan Pan, Tao Liu 0029 swSuperLU: A highly scalable sparse direct solver on Sunway manycore architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Chawki Benchehida, Mohammed Kamel Benhaoua, Houssam-Eddine Zahaf, Giuseppe Lipari Memory-processor co-scheduling for real-time tasks on network-on-chip manycore architectures. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 835 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license