The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprogram with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1963-1974 (20) 1975-1977 (19) 1978-1981 (15) 1982-1987 (16) 1988-1994 (15) 1995-2013 (17) 2020 (1)
Publication types (Num. hits)
article(31) book(1) inproceedings(71)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 57 occurrences of 44 keywords

Results
Found 103 publication records. Showing 103 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
79Akira Sugimoto, Shigeru Abe, Masahiro Kuroda, Yukio Kato An object-oriented visual simulator for microprogram development. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
55Tomlinson Gene Rauscher, Ashok K. Agrawala Developing application oriented computer architectures on general purpose microprogrammable machines. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
52Curtis Abbott A Symbolic Simulator for Microprogram Development. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Lisp applications, microprogram development, symbolic debugging, symbolic execution, microprogramming, processor simulation
46Wei Zhao, Christos A. Papachristou Architectural partitioning of control memory for application specific programmable processors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF application specific programmable processors, control memory, distributed microcode memory model, microcode memory, repetitive microcodes, distributed memory systems, memory architecture, programmability, microprogram, datapaths, firmware, memory module
46Anand Chavan, Shiu-Kai Chin, Shahid Ikram, Jang Dae Kim, Juin-Yeu Zu Extending VLSI design with higher-order logic. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cambridge Higher-Order Logic theorem-prover, microprogram sequencer, Am2910, VLSI, formal verification, formal verification, logic testing, theorem proving, logic design, logic CAD, VLSI design, higher-order logic, theorem-prover, design environment, instruction-set architecture, VLSI CAD
43Hélène Collavizza Functional semantics of microprocessors at the microprogram level and correspondence with the machine instruction level. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
36Haque Mohammad Munirul, Tomoaki Hasegawa, Michitaka Kameyama Evaluation of Multiple-Valued Packet Multiplexing Scheme for Network-on-Chip Architecture. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Gregory R. Lloyd, Andries van Dam Design considerations for microprogramming languages. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
27Brian C. McKinney, Fayez El Guibaly A Multiple-Access Pipeline Architecture for Digital Signal Processing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF multiple-access pipeline architecture, CMOS processor, processing concurrency, microprogram control, floating-point data, parallel architectures, digital signal processing, digital arithmetic, computerised signal processing, arithmetic logic unit
27Robert J. Sheraga, John L. Gieser Experiments in Automatic Microcode Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Automatic microcode generation, high level microprogramming languages, horizontally microprogrammed processors, microprogram compilers, microprogramming
27Masahiro Tsuchiya, Mario J. Gonzalez Toward Optimization of Horizontal Microprograms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF concurrent microoperations, horizontal microprogram, Code optimization, resource contention
25Maryam Rajabalipanah, Seyedeh Maryam Ghasemi, Nooshin Nosrati, Katayoon Basharkhah, Saba Yousefzadeh, Zainalabedin Navabi Reducing DFT hardware overhead by use of a test microprogram in a microprogrammed hardware accelerator. Search on Bibsonomy DFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Alexander Barkalov 0001, Larysa Titarenko, Lukasz Smolinski Hardware reduction for compositional microprogram control unit dedicated for CPLD systems. Search on Bibsonomy EWDTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Alexander Barkalov 0001, Roman Babakov, Larysa Titarenko Compositional microprogram control unit with operational automaton of transitions. Search on Bibsonomy EWDTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Alexander Barkalov 0001, Larysa Titarenko, Roman Babakov Compositional Microprogram Control Unit with Operational Automaton of Transitions. Search on Bibsonomy PDeS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Alexander Barkalov 0001, Larysa Titarenko, Lukasz Smolinski Optimization of microprogram control unit with code sharing. Search on Bibsonomy EWDTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Alexander Barkalov 0001, Larysa Titarenko, Jacek Bieganowski Microprogram control unit with code sharing and extended microinstruction format. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Alexander Barkalov 0001, Larysa Titarenko Logic Synthesis for Compositional Microprogram Control Units Search on Bibsonomy 2008   DOI  RDF
25John L. Donaldson A microprogram simulator and compiler for an enhanced version of Tanenbaum's MIC-1 machine. Search on Bibsonomy SIGCSE The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25A. A. Wardak, G. A. King, Rodney Lionel Rhodes A microprogram-based 3-D image generation system using the Am29300 family. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Martin S. Gilbert, Ramalingam Sridhar AMEC - Asynchronous microprogram execution controller. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
25Luis A. Merayo, Juan I. Solana, Luisa Mola Morales A microprogram-based hardware implementation of the Leaky Bucket algorithm. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Hiroki Koike, Toshio Takeshima, Masahide Takada A BIST scheme using microprogram ROM for large capacity memories. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Colin C. Charlton, Paul H. Leng, Dennis M. Wilkinson A microprogram meta-disassembler. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
25R. Rauscher, J. Westendorf A system for verification of interactive microprogram transformations. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
25Akira Sugimoto, Shigeru Abe, Masahiro Kuroda, Sachio Katou An object-oriented approach for interactive microprogram simulator. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
25John L. Donaldson MicMac: a microprogram simulator for courses in computer organization. Search on Bibsonomy SIGCSE The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
25Jan W. M. Jacobs, Roger J. H. Hacking An integrated microprogram development methodology based on APL. Search on Bibsonomy APL The full citation details ... 1987 DBLP  DOI  BibTeX  RDF APL
25Naixing Li, Yi Tang, Shiyi Xu BBMSS: A bit-splice based microprogram simulation system. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
25Kazutoshi Takahashi, Etsuo Takahashi, Tatsushige Bitoh, Takao Sugimoto A new universal microprogram converter. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
25Pradip K. Srimani, Bhabani P. Sinha A simple way to near minimization of microprogram control memory. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
25Yoshikuni Okada, Hiroaki Tajima, Ryoichi Mori A Reconfigurable Parallel Processor with Microprogram Control. Search on Bibsonomy IEEE Micro The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
25Marco Mezzalama, Paolo Prinetto A Machine-independent Approach to Microprogram Synthesis. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
25Robert E. Skibbe PACE - a microprogram evaluation system. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
25Takanobu Baba, Hiroshi Hagiwara The MPG System: A Machine-Independent Efficient Microprogram Generator. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF high-level microprogramming language, machine independence, microinstruction composition, sequencing and addressing, simulation, optimization, Compilation
25Glenford J. Myers, David G. Hocker The Use of Software Simulators in the Testing and Debugging of Microprogram Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF testing, Debugging, microprogramming, processor simulation
25Anthony P. Lucido, Rahul Chattergy, Udo W. Pooch A Survey of Microprogram Verification and Validation Methods. Search on Bibsonomy Comput. J. The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
25Igor Hansen MIDAS - microprogram description and analysis system. Search on Bibsonomy MICRO The full citation details ... 1981 DBLP  BibTeX  RDF
25Jorge Francisco Martínez-Carballido, V. Michael Powers General microprogram width reduction using generator sets. Search on Bibsonomy MICRO The full citation details ... 1981 DBLP  BibTeX  RDF
25Pradip K. Srimani, Bhabani P. Sinha Some studies on microprogram optimization. Search on Bibsonomy MICRO The full citation details ... 1980 DBLP  BibTeX  RDF
25Subrata Dasgupta The Organization of Microprogram Stores. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
25Eiji Tamura, Mario Tokoro Hierarchical microprogram generating system. Search on Bibsonomy MICRO The full citation details ... 1979 DBLP  BibTeX  RDF
25Marco Mezzalama, Paolo Prinetto Design and implementation of a flexible and interactive microprogram simulator. Search on Bibsonomy MICRO The full citation details ... 1979 DBLP  BibTeX  RDF
25Phillip Crews, Laura Marie Leventhal Interactive microprogram validation: A Prime 400 testbed facility. Search on Bibsonomy MICRO The full citation details ... 1979 DBLP  BibTeX  RDF
25V. Michael Powers, José H. Hernandez Microsystems Microprogram Assemblers for Bit Slice Microprocessors. Search on Bibsonomy Computer The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
25Dono Van-Mierop, Leo Marcus, Steve Crocker Verification of the FTSC microprogram. Search on Bibsonomy MICRO The full citation details ... 1978 DBLP  BibTeX  RDF
25William C. Carter, William H. Joyner Jr., Daniel Brand Microprogram verification considered necessary. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1978 DBLP  BibTeX  RDF
25Takanobu Baba A Microprogram Generating System-MPG. Search on Bibsonomy IFIP Congress The full citation details ... 1977 DBLP  BibTeX  RDF
25Mario Tokoro, Eiji Tamura, Kazuhiko Takase, Kiichiro Tamaru An approach to microprogram optimization considering resource occupancy and instruction formats. Search on Bibsonomy MICRO The full citation details ... 1977 DBLP  BibTeX  RDF
25Gerhard Zimmermann Microprogram structures for high level language elements. Search on Bibsonomy MICRO The full citation details ... 1977 DBLP  BibTeX  RDF
25E. Douglas Jensen, Richard Y. Kain The Honeywell Modular Microprogram Machine: M3. Search on Bibsonomy ISCA The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
25Samuel H. Fuller, G. A. Mathew Implementing microprogram storage with PLA's. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25David A. Patterson 0001 Strum: Structured Microprogram Development System for Correct Firmware. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25Tilak Agerwala Microprogram Optimization: A Survey. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25Thomas F. Storey Design of a microprogram control for a processor in an electronic switching system. Search on Bibsonomy Bell Syst. Tech. J. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25Gideon Frieder Distributed microprogram architecture. Search on Bibsonomy ACM Annual Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25William H. Joyner Jr., William C. Carter, George B. Leeman Jr. Automated proofs of microprogram correctness. Search on Bibsonomy MICRO The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25Igor Hansen, Jacek Leszczylowski Microprogram-Oriented Model of the Controlled Structure. Search on Bibsonomy MFCS The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
25A. M. Abd-Alla, Laird H. Moffett Hardware implementation of loop trace and microprogram synthesis. Search on Bibsonomy ACM Annual Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
25Douglas A. Anderson Computer Aids for the fabrication of large microprogram Read-Only Memories. Search on Bibsonomy ACM Annual Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
25Vernon Coleman, Krishna Rallapalli A versatile microprogram sequencer. Search on Bibsonomy MICRO (2) The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
25Clive Ghest A powerful microprogram control unit - the 6700. Search on Bibsonomy MICRO (2) The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
25George B. Leeman Jr., William C. Carter, Alexander Birman Some Techniques for Microprogram Validation. Search on Bibsonomy IFIP Congress The full citation details ... 1974 DBLP  BibTeX  RDF
25John Tartar, Subrata Dasgupta A probabilistic model for the evaluation of microprogram performance. Search on Bibsonomy MICRO The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
25Richard L. Kleir A representation for the analysis of microprogram operation. Search on Bibsonomy MICRO The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
25R. Petzold, Lutz Richter, Heinz-Peter Röhrs A two level microprogram simulator. Search on Bibsonomy MICRO The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
25Wilfried Rottmann MIKADO - a system for computer aided microprogram design. Search on Bibsonomy MICRO The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
25Christopher Vickery Software aids for microprogram development. Search on Bibsonomy MICRO The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
25Robert W. Cook, William H. Sisson, Thomas F. Storey, Wing N. Toy Design of a Self-Checking Microprogram Control. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
25Richard T. Thomas Main memory for user microprogram residence - an analysis. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
25Mitsuhiro Hattori, Michiko Yano, Kiichi Fujino MPGS: a high-level language for microprogram generating system. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
25Gérard L. M. Noguez A standardized microprogram sequencing control with a push down storage. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
25Y. S. Wu Architectural considerations of a signal processor under microprogram control. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
25Ray Polivka, Kent Haralson Microprogram training - an APL application. Search on Bibsonomy APL The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
25Steve J. Young A microprogram simulator. Search on Bibsonomy DAC The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
25Ken-ichi Mori, Hiroshi Genchi, Sadakazu Watanabe, Sumio Katsuragi Microprogram controlled pattern processing in a handwritten mail reader-sorter. Search on Bibsonomy Pattern Recognit. The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
25Edward P. Stabler Microprogram Transformations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
25Stuart G. Tucker Microprogram Control for System/360. Search on Bibsonomy IBM Syst. J. The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
25William C. McGee, Harold E. Petersen Microprogram control for the experimental sciences. Search on Bibsonomy AFIPS Fall Joint Computing Conference (1) The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
25M. W. Allen, Trevor Pearcey, John P. Penny, Gordon A. Rose, J. G. Sanderson CIRRUS, An Economical Multiprogram Computer with Microprogram Control. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1963 DBLP  DOI  BibTeX  RDF
18Srimat T. Chakradhar, Murugan Sankaradass, Venkata Jakkula, Srihari Cadambi A dynamically configurable coprocessor for convolutional neural networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic reconfiguration, parallel computer architecture, convolutional neural networks
18Pedro Ángel Castillo Valdivieso, G. Fernández, Antonio Mora García, Juan Julián Merelo Guervós, José Luis Bernier, Alberto Prieto Evolving machine microprograms. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evolutionary computation techniques, optimization, computer architecture, microarchitecture, microprogramming, automatic design
18Pedro Ángel Castillo Valdivieso, G. Fernández, Juan Julián Merelo Guervós, José Luis Bernier, Antonio Miguel Mora, Juan Luis Jiménez Laredo, Pablo García-Sánchez Evolving Machine Microprograms: Application to the CODE2 Microarchitecture. Search on Bibsonomy DCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evolutionary computation techniques, optimization, computer architecture, microarchitecture, microprogramming, automatic design
18David Jackson 0001 Automatic Synthesis of Instruction Decode Logic by Genetic Programming. Search on Bibsonomy EuroGP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mihai Sima, Stamatis Vassiliadis, Sorin Cotofana, Jos T. J. van Eijndhoven, Kees A. Vissers Field-Programmable Custom Computing Machines - A Taxonomy -. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Günther Bauer 0001, Hermann Kopetz Transparent Redundancy in the Time-Triggered Architecture. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault-Tolerance Layer, Transparent Redundancy, TTP/C, Time-Triggered Architecture
18Chih-Tsun Huang, Jing-Reng Huang, Chi-Feng Wu, Cheng-Wen Wu, Tsin-Yuan Chang A Programmable BIST Core for Embedded DRAM. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Mark William Kahrs Silicon compilation of very high level language. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Sunil R. Das, Amiya Nayak A survey on bit dimension optimization strategies of microprograms. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
18Djahida Smati, Jerry P.-C. Hwang, Christos A. Papachristou SMDSS - a structured microcode development and simulation system. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
18Yap Siong Chua, Charles N. Winton A Simulation Tool for Teaching CPU Design and Microprogramming Concepts. Search on Bibsonomy APL The full citation details ... 1989 DBLP  DOI  BibTeX  RDF APL
18Anshul Kumar, Shashi Kumar, P. Kulshreshtha, Sudipto Ghose Automatic Synthesis of Microprogrammed Control Units from Behavioral Descriptions. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Pierre A. von Kaenel Microprogramming a watch: tools for a course in computer organization. Search on Bibsonomy SIGCSE The full citation details ... 1988 DBLP  DOI  BibTeX  RDF C
18Robert A. Mueller, Joseph Varghese Retargetable Microcode Synthesis. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Jayaram Bhasker, Tariq Samad Compacting MIMOLA microcode. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF clique partitioning, synthesis, compaction, microprogramming
18Takanobu Baba, Hiroshi Minakawa, Kenzo Okuda A visual microprogramming system. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18W. J. Chen, G. N. Reddy A computer aided design automation system for developing microprogrammed processors: a design approach through HDLs. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Dan I. Moldovan An associative array architecture intended for semantic network processing. Search on Bibsonomy ACM Annual Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Perng-Yi Richard Ma, Ted G. Lewis Design of a Machine-Independent Optimizing System for Emulator Development. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18François Bancilhon, Michel Scholl On Designing an I/O Processor for a Relational Data Base Machine. Search on Bibsonomy SIGMOD Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 103 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license