The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase minimal-power (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2004 (21) 2005-2006 (15) 2007-2008 (16) 2009-2023 (11)
Publication types (Num. hits)
article(17) inproceedings(46)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 50 occurrences of 47 keywords

Results
Found 63 publication records. Showing 63 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Joep L. W. Kessels VLSI programming of a low-power asynchronous Reed-Solomon decoder for the DCC player. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital audio tape, VLSI programming, low-power asynchronous Reed-Solomon decoder, DCC player, Tangram, minimal power dissipation, low-power cost-effective design, VLSI, logic programming, power consumption, power consumption, asynchronous circuits, asynchronous circuit, decoding, Reed-Solomon codes
28Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay-balanced, minimal-power, interconnects, repeaters
21Nasir Ahmed, Mohammad Ali Khojastepour, Ashutosh Sabharwal, Behnaam Aazhang Outage minimization with limited feedback for the fading relay channel. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Lang Lin, Wayne P. Burleson Analysis and mitigation of process variation impacts on Power-Attack Tolerance. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, differential power analysis, Monte Carlo simulation, transistor sizing
17Huaizhi Wu, Martin D. F. Wong, I-Min Liu, Yusu Wang Placement-Proximity-Based Voltage Island Grouping Under Performance Requirement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Daniel Catrein, Rudolf Mathar Feasibility and Power Control for Linear Multiuser Receivers in CDMA Networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Christoph Saas, Josef A. Nossek Resonant Multistage Charging of Dominant Capacitances. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Xin Zhang, Yun Hu, Zheng Chang 0001, Geyong Min AoI-Minimal Power and Trajectory Optimization for UAV-Assisted Wireless Networks. Search on Bibsonomy WCNC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Beatrice Shokry, Hassanein H. Amer, Salma K. Elsokkary, Ramez M. Daoud, Cherif R. Salama FPGA Accelerated CNN Inference and Online Retraining for UAVs with Minimal Power and Performance Overhead. Search on Bibsonomy ETFA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Mohsen Tajallifar, Ahmad R. Sharafat, Halim Yanikomeroglu QoS-Aware Hybrid Beamforming With Minimal Power in mmWave Massive MIMO Systems. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Leon Lan, Alessandro Zocca An MILP-based approach to tree partitioning with minimal power flow disruption and generator coherency constraints. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
11Angela Scholz, Fabian Sandau, Carsten Pape A European Investment and Dispatch Model for Determining Cost Minimal Power Systems with High Shares of Renewable Energy. Search on Bibsonomy OR The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Joshua W. Wells, Jayaram Natarajan, Abhijit Chatterjee, Irtaza Barlas Real-Time, Content Aware Camera - Algorithm - Hardware Co-Adaptation for Minimal Power Video Encoding. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Chan Yong Lee, Gang Uk Hwang Fair and Minimal Power Allocation in a Two-Hop Relay Network for QoS Support. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
11K. Sreejith, Bharadwaj Amrutur, Ashok Balivada A Workload Based Lookup Table for Minimal Power Operation Under Supply and Body Bias Control. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jong-Tae Park 0001, Jae-Wook Nah, Song Wang Application-aware handover with minimal power consumption for multimedia streaming service in wireless LAN. Search on Bibsonomy MUM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF application-aware handover, energy-efficient handover, fast handover in WLAN
11Shu Xiao 0001, Edmund Ming-Kit Lai VLIW instruction scheduling for minimal power variation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power variation reduction, Instruction scheduling, VLIW processors
11Philippe Maurine, Xavier Michel, Nadine Azémard, Daniel Auvergne Gate speed improvement at minimal power dissipation. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Brent A. Myers, J. Bartow Willingham, Patrick Landy, Mark A. Webster, Perry Frogge, Michael Fischer Design considerations for minimal-power wireless spread spectrum circuits and systems. Search on Bibsonomy Proc. IEEE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Weijun Ji, Vaithianathan Venkatasubramanian Dynamics of Minimal Power System Model - Invariant Tori and Quasi-Periodic Motions. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Zhengtao Yu 0002, Xun Liu Low-Power Rotary Clock Array Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif An efficient surface-based low-power buffer insertion algorithm. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power design, buffer insertion, physical synthesis
11Jun Zhang 0019, Zuyuan Fang, Brahim Bensaou Adaptive Power control Algorithm for Ad Hoc NetworksWith Short and Long Term Packet Correlations. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chi-Ying Tsui, Massoud Pedram, Alvin M. Despain Power efficient technology decomposition and mapping under an extended power consumption model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Josep Miquel Jornet, Milica Stojanovic, Michele Zorzi Focused beam routing protocol for underwater acoustic networks. Search on Bibsonomy Underwater Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, power control, underwater acoustic networks
9Saurabh Sinha, Jounghyuk Suh, Bertan Bakkaloglu, Yu Cao 0001 Workload-aware neuromorphic design of low-power supply voltage controller. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF neuromorphic engineering, DVS, spiking neurons
9Wei Zha, Sang Hun Eo, Byeong-Seob You, Dong-Wook Lee, Hae-Young Bae OMSI-Tree: Power-Awareness Query Processing over Sensor Networks by Removing Overlapping Regions. Search on Bibsonomy APWeb/WAIM Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Daniel Catrein, Lorens A. Imhof, Rudolf Mathar Power control, capacity, and duality of uplink and downlink in cellular CDMA systems. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Dinesh Rajan, Ashutosh Sabharwal, Behnaam Aazhang Power Efficient Broadcast Scheduling with Delay Deadlines. Search on Bibsonomy BROADNETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9William R. Hamburgen, Deborah A. Wallach, Marc A. Viredaz, Lawrence S. Brakmo, Carl A. Waldspurger, Joel F. Bartlett, Timothy Mann, Keith I. Farkas Itsy: Stretching the Bounds of Mobile Computing. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Zoltán Nagy 0002, Michael Flückiger, Olgaç Ergeneman, Salvador Pané, Martin Probst, Bradley J. Nelson A wireless acoustic emitter for passive localization in liquids. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Adam Teman, Sagi Fisher, Liby Sudakov, Alexander Fish, Orly Yadid-Pecht Autonomous CMOS image sensor for real time target detection and tracking. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Tony Tae-Hyoung Kim, Jason Liu 0004, John Keane 0001, Chris H. Kim Circuit techniques for ultra-low power subthreshold SRAMs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Kyoungwoo Lee, Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian Data Partitioning Techniques for Partially Protected Caches to Reduce Soft Error Induced Failures. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Jianhua Liu, Yi Zhu 0002, Haikun Zhu, Chung-Kuan Cheng, John Lillis Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimum prefix adders, static power consumptions, dynamic power consumptions, high-level synthesis, integer linear programming, buffer insertion, gate sizing, power models, ASIC designs, parallel prefix adder, binary adder
8Lilian Atieno, Jonathan Allen, Dennis Goeckel, Russell Tessier An adaptive Reed-Solomon errors-and-erasures decoder. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, power reduction, Reed-Solomon
8Atanu Chattopadhyay, Zeljko Zilic GALDS: a complete framework for designing multiclock ASICs and SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Joseph Polastre, Robert Szewczyk, David E. Culler Telos: enabling ultra-low power wireless research. Search on Bibsonomy IPSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Design of Adaptive Nanometer Digital Systems for Effective Control of Soft Error Tolerance. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Ankur Srivastava 0001, Majid Sarrafzadeh Predictability: definition, ananlysis and optimization. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Friedel Gerfers, Kian Min Soh, Maurits Ortmanns, Yiannos Manoli Figure of merit based design strategy for low-power continuous-time Sigma-Delta modulators. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Qian Zhang 0001, Wenwu Zhu 0001, Zhu Ji, Ya-Qin Zhang A power-optimized joint source channel coding for scalable video streaming over wireless channel. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Vinayak Honkote, Baris Taskin Custom rotary clock router. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Assaf Shacham, Keren Bergman, Luca P. Carloni On the Design of a Photonic Network-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Qasim M. Chaudhari, Erchin Serpedin A Simple Algorithm for Clock Synchronization in Wireless Sensor Networks. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Hai Li 0001, Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Julien Pansiot, Danail Stoyanov, Benny P. L. Lo, Guang-Zhong Yang Towards Image-Based Modeling for Ambient Sensing. Search on Bibsonomy BSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF home care monitoring, simulation, shape from silhouette, camera network
6Raf Schoofs, Michiel Steyaert, Willy M. C. Sansen A 7.5mW, 11-bit continuous-time sigma-delta A/D converter for WLAN applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Brian Moore 0001, Martin Margala, Christopher J. Backhouse Design of wireless on-wafer submicron characterization system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Chip-Hong Chang, Jiangmin Gu, Mingyan Zhang A review of 0.18-μm full adder performances for tree structured arithmetic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Shubhajit Roy Chowdhury, C. Pramanik, Hiranmay Saha ASIC Design of the Linearisation Circuit of a PTC Thermistor. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Anas N. Al-Rabadi Reversible Fast Permutation Transforms for Quantum Circuit Synthesi. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Anas Al-Rabadi Quantum Circuit Synthesis Using Classes of GF(3) Reversible Fast Spectral Transforms. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Brian Moore 0001, Christopher J. Backhouse, Martin Margala Design of Wireless Sub-Micron Characterization System. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Communication, Low-power-design, CMOS, Faults, Low-voltage, Mixed-signal
5Tobias Farrell, Ralph Lange, Kurt Rothermel Energy-efficient Tracking of Mobile Objects with Early Distance-based Reporting. Search on Bibsonomy MobiQuitous The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Alfredo Arnaud An efficient chopper amplifier, using a switched Gm-C Filter technique. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, CMOS, analog design
5Hea Suk Jo, Hee Yong Youn A New Synchronization Protocol for Authentication in Wireless LAN Environment. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
5Hea Suk Jo, Hee Yong Youn A New Synchronization Protocol for Authentication in Wireless LAN Environment. Search on Bibsonomy ICCSA (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
5Kurt Partridge, Saurav Chatterjee, Vibha Sazawal, Gaetano Borriello, Roy Want TiltType: accelerometer-supported text entry for very small devices. Search on Bibsonomy UIST The full citation details ... 2002 DBLP  DOI  BibTeX  RDF accelerometer applications, wristwatch computers, mobile devices, wearable computing, interaction techniques, text entry, input/output devices
5Bhaskar Chatterjee, Manoj Sachdev, Ali Keshavarzi A DFT Technique for Low Frequency Delay Fault Testing in High Performance Digital Circuits. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
5Julie A. McCann, J. S. Crane Component DBMS Architecture for Nomadic Computing. Search on Bibsonomy BNCOD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
5Franck Luthon, George V. Popescu, Alice Caplier An MRF Based Motion Detection Algorithm Implemented on Analog Resistive Network. Search on Bibsonomy ECCV (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #63 of 63 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license