|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1848 occurrences of 823 keywords
|
|
|
Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
78 | James Psota, Anant Agarwal |
rMPI: Message Passing on Multicore Processors with On-Chip Interconnect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 22-37, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
75 | John E. Savage, Mohammad Zubair |
A unified model for multicore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, IFMT 2008, Cairo, Egypt, November 24-25, 2008, pp. 9, 2008, ACM, 978-1-60558-407-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multicore, memory hierarchy |
69 | David A. Bader, Varun Kanade, Kamesh Madduri |
SWARM: A Parallel Programming Framework for Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-8, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
67 | Zhijing G. Mou, Hai Liu 0012, Paul Hudak |
Compress-and-conquer for optimal multicore computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAMP ![In: Proceedings of the POPL 2010 Workshop on Declarative Aspects of Multicore Programming, DAMP 2010, Madrid, Spain, January 19, 2010, pp. 35-44, 2010, ACM, 978-1-60558-859-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compress and conquer, parallel computing, functional programming, scan, divide and conquer, programming paradigm, multicore programming |
65 | Siva Kumar Sastry Hari, Man-Lap Li, Pradeep Ramachandran, Byn Choi, Sarita V. Adve |
mSWAT: low-cost hardware fault detection and diagnosis for multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 122-132, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
architecture, error detection, fault injection, multicore processors |
65 | Li Yi, Christopher Moretti, Scott J. Emrich, Kenneth Judd, Douglas Thain |
Harnessing parallelism in multicore clusters with the all-pairs and wavefront abstractions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 18th ACM International Symposium on High Performance Distributed Computing, HPDC 2009, Garching, Germany, June 11-13, 2009, pp. 1-10, 2009, ACM, 978-1-60558-587-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bioinformatics, abstractions, multicore, economics |
61 | Anant Agarwal, Markus Levy |
The KILL Rule for Multicore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 750-753, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
57 | Damián A. Mallón, Guillermo L. Taboada, Carlos Teijeiro, Juan Touriño, Basilio B. Fraguela, Andrés Gómez 0002, Ramon Doallo, José Carlos Mouriño |
Performance Evaluation of MPI, UPC and OpenMP on Multicore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 16th European PVM/MPI Users' Group Meeting, Espoo, Finland, September 7-10, 2009. Proceedings, pp. 174-184, 2009, Springer, 978-3-642-03769-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
NAS Parallel Benchmarks (NPB), Performance Evaluation, MPI, OpenMP, Multicore Architectures, UPC |
57 | Tao Liu, Zhenzhou Ji, Qing Wang, Dali Xiao, Shuyan Zhang |
Research on Evaluation of Parallelization on an Embedded Multicore Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings, pp. 330-340, 2009, Springer, 978-3-642-03643-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
FPGA, parallelization, embedded, multicore processor |
57 | Sudhir Vinjamuri, Viktor K. Prasanna |
Hierarchical Dependency Graphs: Abstraction and Methodology for Mapping Systolic Array Designs to Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 10th International Conference, PaCT 2009, Novosibirsk, Russia, August 31-September 4, 2009. Proceedings, pp. 284-298, 2009, Springer, 978-3-642-03274-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
systolic array designs, parallel programming, high performance computing, multicore, dependency graphs |
57 | Yinghai Lu, Hai Zhou 0001, Li Shang, Xuan Zeng 0001 |
Multicore parallel min-cost flow algorithm for CAD applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 832-837, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
min-cost flow, parallel programming, multicore |
57 | Vahid Kazempour, Alexandra Fedorova, Pouya Alagheband |
Performance Implications of Cache Affinity on Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 151-161, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cache affinity, scheduling, performance evaluation, multicore processors |
57 | Shih-Wei Liao, Shih-Hao Hung, Chia-Heng Tu, Jen-Hao Chen |
Scalable Lossless High Definition Image Coding on Multicore Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 742-753, 2007, Springer, 978-3-540-77091-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Multicore SoC, Image Decompress, Embedded System, Parallelization, Image Compress, JPEG2000, Lossless, Digital Cinema |
55 | Mahmut T. Kandemir, Taylan Yemliha, Sai Prashanth Muralidhara, Shekhar Srikantaiah, Mary Jane Irwin, Yuanrui Zhang |
Cache topology aware computation mapping for multicores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2010, Toronto, Ontario, Canada, June 5-10, 2010, pp. 74-85, 2010, ACM, 978-1-4503-0019-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compiler, cache, multicore, topology-aware, multi-level |
53 | Ke Meng, Frank Huebbers, Russ Joseph, Yehea I. Ismail |
Modeling and Characterizing Power Variability in Multicore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 146-157, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
mal-fabricated chip, VariPower, project power variability, microarchitectural block, power variability characterization, statistical analysis, multicore processor, multicore architecture, technology scaling, parameter variation, SPICE simulation |
52 | Sascha Uhrig |
Evaluation of Different Multithreaded and Multicore Processor Configurations for SoPC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 9th International Workshop, SAMOS 2009, Samos, Greece, July 20-23, 2009. Proceedings, pp. 68-77, 2009, Springer, 978-3-642-03137-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
52 | Sascha Uhrig, Theo Ungerer |
A Garbage Collection Technique for Embedded Multithreaded Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2009, 22nd International Conference, Delft, The Netherlands, March 10-13, 2009. Proceedings, pp. 207-218, 2009, Springer, 978-3-642-00453-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
52 | Guy E. Blelloch, Rezaul Alam Chowdhury, Phillip B. Gibbons, Vijaya Ramachandran, Shimin Chen, Michael Kozuch |
Provably good multicore cache performance for divide-and-conquer algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Nineteenth Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2008, San Francisco, California, USA, January 20-22, 2008, pp. 501-510, 2008, SIAM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
52 | Kaushik Datta, Mark Murphy, Vasily Volkov, Samuel Williams 0001, Jonathan Carter, Leonid Oliker, David A. Patterson 0001, John Shalf, Katherine A. Yelick |
Stencil computation optimization and auto-tuning on state-of-the-art multicore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2008, November 15-21, 2008, Austin, Texas, USA, pp. 4, 2008, IEEE/ACM, 978-1-4244-2835-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
52 | Vivek Sarkar |
Programming Challenges for Petascale and Multicore Parallel Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings, pp. 1, 2007, Springer, 978-3-540-75443-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
52 | Gang Qu 0001 |
Power Management of Multicore Multiple Voltage Embedded Systems by Task Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 2007 International Conference on Parallel Processing Workshops (ICPP Workshops 2007), 10-14 September 2007, Xi-An, China, pp. 34, 2007, IEEE Computer Society, 978-0-7695-2934-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
52 | Samuel Williams 0001, Leonid Oliker, Richard W. Vuduc, John Shalf, Katherine A. Yelick, James Demmel |
Optimization of sparse matrix-vector multiplication on emerging multicore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 38, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
52 | Dimitrios S. Nikolopoulos |
Facing the challenges of multicore processor technologies using autonomic system software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Abdallah Al Zain, Kevin Hammond, Jost Berthold, Philip W. Trinder, Greg Michaelson, Mustafa Aswad |
Low-pain, high-gain multicore programming in Haskell: coordinating irregular symbolic computations on multicore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAMP ![In: Proceedings of the POPL 2009 Workshop on Declarative Aspects of Multicore Programming, DAMP 2009, Savannah, GA, USA, January 20, 2009, pp. 25-36, 2009, ACM, 978-1-60558-417-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
eden, multicore parallelism, haskell, algorithmic skeletons |
51 | John L. Gustafson |
Reevaluating Amdahl's Law. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 31(5), pp. 532-533, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
48 | Suzanne Rivoire |
A breadth-first course in multicore and manycore programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 41st ACM technical symposium on Computer science education, SIGCSE 2010, Milwaukee, Wisconsin, USA, March 10-13, 2010, pp. 214-218, 2010, ACM, 978-1-4503-0006-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicore programming education, parallel programming education, tbb, openmp, cuda |
48 | Juan Carlos Saez, Manuel Prieto 0001, Alexandra Fedorova, Sergey Blagodurov |
A comprehensive scheduler for asymmetric multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: European Conference on Computer Systems, Proceedings of the 5th European conference on Computer systems, EuroSys 2010, Paris, France, April 13-16, 2010, pp. 139-152, 2010, ACM, 978-1-60558-577-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
asymmetric multicore, scheduling, operating systems |
48 | Surendra Byna, Yong Chen 0001, Xian-He Sun |
Taxonomy of Data Prefetching for Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 24(3), pp. 405-417, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
taxonomy of prefetching strategies, memory hierarchy, multicore processors, data prefetching |
48 | Werner Augustin, Vincent Heuveline, Jan-Philipp Weiss |
Optimized Stencil Computation Using In-Place Calculation on Modern Multicore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 772-784, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bandwidth-bound, in-place stencil, compressed grid, time blocking, Parallel algorithm, multicore processors, cache optimization |
48 | Viren Kumar, James P. Delgrande |
Optimal Multicore Scheduling: An Application of ASP Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPNMR ![In: Logic Programming and Nonmonotonic Reasoning, 10th International Conference, LPNMR 2009, Potsdam, Germany, September 14-18, 2009. Proceedings, pp. 604-609, 2009, Springer, 978-3-642-04237-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
clingo, edge cover, scheduling, multicore, ASP |
48 | Marco Paolieri, Eduardo Quiñones, Francisco J. Cazorla, Guillem Bernat, Mateo Valero |
Hardware support for WCET analysis of hard real-time multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 57-68, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
wcet, interconnection network, multicore, hard real-time, real-time embedded systems, cache partitioning, analyzability |
48 | Jungseob Lee, Nam Sung Kim |
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 47-50, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multicore processor, DVFS, power gating |
48 | Wei Hu 0001, Tianzhou Chen, Qingsong Shi |
Exploring multicore computing education in China by model curriculum construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Summit on Computing Education in China ![In: First ACM Summit on Computing Education in China, Beijing, China, October 24-25, 2008, Proceedings, pp. 1, 2008, ACM, 978-1-60558-441-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
model curriculum, programming, multicore |
48 | Stéphane Zuckerman, Marc Pérache, William Jalby |
Fine Tuning Matrix Multiplications on Multicore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings, pp. 30-41, 2008, Springer, 978-3-540-89893-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multicore, cache coherency, BLAS |
48 | Xiaohong Qiu, Geoffrey C. Fox, Huapeng Yuan, Seung-Hee Bae, George Chrysanthakopoulos, Henrik Frystyk Nielsen |
Performance of Multicore Systems on Parallel Data Clustering with Deterministic Annealing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (1) ![In: Computational Science - ICCS 2008, 8th International Conference, Kraków, Poland, June 23-25, 2008, Proceedings, Part I, pp. 407-416, 2008, Springer, 978-3-540-69383-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Data mining, Performance, Parallel Computing, MPI, Multicore, Threads, Windows |
48 | Jayanth Gummaraju, Joel Coburn, Yoshio Turner, Mendel Rosenblum |
Streamware: programming general-purpose multicore processors using streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2008, Seattle, WA, USA, March 1-5, 2008, pp. 297-307, 2008, ACM, 978-1-59593-958-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
general-purpose multicore processors, programming, streams, runtime system |
48 | Trevor N. Mudge |
Multicore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 208, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multicore |
48 | James H. Anderson, John M. Calandrino, UmaMaheswari C. Devi |
Real-Time Scheduling on Multicore Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 4-7 April 2006, San Jose, California, USA, pp. 179-190, 2006, IEEE Computer Society, 0-7695-2516-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multiprocessors, real-time scheduling, Multicore architectures |
47 | Jason Mars, Neil Vachharajani, Robert Hundt, Mary Lou Soffa |
Contention aware execution: online contention detection and response. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 257-265, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cross-core interference, dynamic techniques, execution runtimes, online adaptation, multicore, contention |
47 | Vahid Kazempour, Ali Kamali, Alexandra Fedorova |
AASH: an asymmetry-aware scheduler for hypervisors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 6th International Conference on Virtual Execution Environments, VEE 2010, Pittsburgh, Pennsylvania, USA, March 17-19, 2010, pp. 85-96, 2010, ACM, 978-1-60558-910-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
heterogeneous, scheduling algorithms, multicore processors, virtual machine monitor, hypervisor, asymmetric |
44 | Sudhir Vinjamuri, Viktor K. Prasanna |
Transitive closure on the cell broadband engine: A study on self-scheduling in a multicore processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-11, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Vu Manh Tuan, Naohiro Katsura, Hiroki Matsutani, Hideharu Amano |
Evaluation of a multicore reconfigurable architecture with variable core sizes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Gudula Rünger, Michael Schwind |
Parallelization Strategies for Mixed Regular-Irregular Applications on Multicore-Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings, pp. 375-388, 2009, Springer, 978-3-642-03643-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Hiroshi Inoue, Hideaki Komatsu, Toshio Nakatani |
A study of memory management for web-based applications on multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2009, Dublin, Ireland, June 15-21, 2009, pp. 386-396, 2009, ACM, 978-1-60558-392-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scripting language, web-based applications, dynamic memory management, region-based memory management |
44 | Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan |
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 16-20 February 2008, Salt Lake City, UT, USA, pp. 367-378, 2008, IEEE Computer Society, 978-1-4244-2070-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
44 | Kun-Yuan Hsieh, Yen-Chih Liu, Chi-Hua Lai, Jenq Kuen Lee |
The support of software design patterns for streaming RPC on embedded multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: Proceedings of the IEEE Workshop on Signal Processing Systems, SiPS 2008, October 8-10, 2008, Washington, D.C. Metro Area, USA, pp. 263-268, 2008, IEEE, 978-1-4244-2924-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
44 | Ami Marowka |
Routing Speedup in Multicore-Based Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPDC ![In: 6th International Symposium on Parallel and Distributed Computing (ISPDC 2007), Hagenberg, Austria, July 5-8, 2007, pp. 335-342, 2007, IEEE Computer Society, 0-7695-2936-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Weidong Shi, Hsien-Hsin S. Lee, Laura Falk, Mrinmoy Ghosh |
An Integrated Framework for Dependable and Revivable Architectures Using Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 33rd International Symposium on Computer Architecture (ISCA 2006), June 17-21, 2006, Boston, MA, USA, pp. 102-113, 2006, IEEE Computer Society, 0-7695-2608-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Barry Wilkinson |
Fundamentals of Multicore Hardware and Parallel Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Fundamentals of Multicore Software Development ![In: Fundamentals of Multicore Software Development, pp. 1-22, 2012, CRC Press, 978-1-4398-1273-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
44 | Vincent Heuveline, Dimitar Lukarski, Nico Trost, Jan-Philipp Weiss |
Parallel Smoothers for Matrix-Based Geometric Multigrid Methods on Locally Refined Meshes Using Multicore CPUs and GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Facing the Multicore-Challenge ![In: Facing the Multicore - Challenge II - Aspects of New Paradigms and Technologies in Parallel Computing [Proceedings of a conference held at the Karlsruhe Institute of Technology (KIT), September 28-30, 2011]., pp. 158-171, 2011, Springer, 978-3-642-30396-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
44 | Samuel Williams 0001, Nathan Bell, Jee Whan Choi, Michael Garland, Leonid Oliker, Richard Vu |
Sparse Matrix-Vector Multiplication on Multicore and Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientific Computing with Multicore and Accelerators ![In: Scientific Computing with Multicore and Accelerators., pp. 83-109, 2010, CRC Press / Taylor & Francis, 978-1-4398-2536-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
44 | Hari Subramoni, Fabrizio Petrini, Virat Agarwal, Davide Pasetto |
High Performance Topology-Aware Communication in Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientific Computing with Multicore and Accelerators ![In: Scientific Computing with Multicore and Accelerators., pp. 443-460, 2010, CRC Press / Taylor & Francis, 978-1-4398-2536-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
44 | Alex Chung Hen Chow, Gordon C. Fossum, Daniel A. Brokenshire |
Implementing FFTs on Multicore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientific Computing with Multicore and Accelerators ![In: Scientific Computing with Multicore and Accelerators., pp. 171-192, 2010, CRC Press / Taylor & Francis, 978-1-4398-2536-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
44 | Kaushik Datta, Samuel Williams 0001, Vasily Volkov, Jonathan Carter, Leonid Oliker, John Shalf, Katherine A. Yelick |
Auto-Tuning Stencil Computations on Multicore and Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientific Computing with Multicore and Accelerators ![In: Scientific Computing with Multicore and Accelerators., pp. 219-253, 2010, CRC Press / Taylor & Francis, 978-1-4398-2536-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
44 | David A. Bader |
Analyzing Massive Social Networks Using Multicore and Multithreaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Facing the Multicore-Challenge ![In: Facing the Multicore-Challenge - Aspects of New Paradigms and Technologies in Parallel Computing [Proceedings of a conference held at the Heidelberger Akademie der Wissenschaften, March 17-19, 2010], pp. 1, 2010, Springer, 978-3-642-16232-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
44 | Michael B. Taylor, Walter Lee, Jason E. Miller, David Wentzlaff, Ian Bratt, Ben Greenwald, Henry Hoffmann, Paul R. Johnson, Jason Sungtae Kim, James Psota, Arvind Saraf, Nathan Shnidman, Volker Strumpen, Matthew I. Frank, Saman P. Amarasinghe, Anant Agarwal |
Tiled Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multicore Processors and Systems ![In: Multicore Processors and Systems, pp. 1-33, 2009, Springer, 978-1-4419-0262-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | James Laudon, Robert T. Golla, Greg Grohoski |
Throughput-Oriented Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multicore Processors and Systems ![In: Multicore Processors and Systems, pp. 205-230, 2009, Springer, 978-1-4419-0262-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Ali-Reza Adl-Tabatabai, Christos Kozyrakis, Bratin Saha |
Optimizing Memory Transactions for Multicore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multicore Processors and Systems ![In: Multicore Processors and Systems, pp. 145-172, 2009, Springer, 978-1-4419-0262-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Li-Shiuan Peh, Stephen W. Keckler, Sriram R. Vangal |
On-Chip Networks for Multicore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multicore Processors and Systems ![In: Multicore Processors and Systems, pp. 35-71, 2009, Springer, 978-1-4419-0262-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Doug Burger, Stephen W. Keckler, Simha Sethumadhavan |
Composable Multicore Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multicore Processors and Systems ![In: Multicore Processors and Systems, pp. 73-109, 2009, Springer, 978-1-4419-0262-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
42 | Phuong Hoai Ha, Philippas Tsigas, Otto J. Anshus |
The Synchronization Power of Coalesced Memory Accesses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 22nd International Symposium, DISC 2008, Arcachon, France, September 22-24, 2008. Proceedings, pp. 320-334, 2008, Springer, 978-3-540-87778-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Fridtjof Siebert |
JEOPARD: Java environment for parallel real-time development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JTRES ![In: Proceedings of the 6th International Workshop on Java Technologies for Real-time and Embedded Systems, JTRES 2008, 24-26 September 2008, Santa Clara, California, USA, pp. 87-93, 2008, ACM, 978-1-60558-337-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | John A. Stratton, Vinod Grover, Jaydeep Marathe, Bastiaan Aarts, Mike Murphy, Ziang Hu, Wen-mei W. Hwu |
Efficient compilation of fine-grained SPMD-threaded programs for multicore CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 111-119, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicore, CUDA, CPU, SPMD |
40 | Patrick Anthony La Fratta, Peter M. Kogge |
Models for generating locality-tuned traveling threads for a hierarchical multi-level heterogeneous multicore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 227-236, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
asymmetric multicore architectures, cache hierarchy design, locality-cognizant parallelization, migrant threads, multithreaded architectures |
40 | Andrew Baumann, Paul Barham 0001, Pierre-Évariste Dagand, Tim Harris 0001, Rebecca Isaacs, Simon Peter 0001, Timothy Roscoe, Adrian Schüpbach, Akhilesh Singhania |
The multikernel: a new OS architecture for scalable multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 22nd ACM Symposium on Operating Systems Principles 2009, SOSP 2009, Big Sky, Montana, USA, October 11-14, 2009, pp. 29-44, 2009, ACM, 978-1-60558-752-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scalability, message passing, multicore processors |
40 | Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan |
Enabling software management for multicore caches with a lightweight hardware support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multicore, shared cache, cache management |
40 | Susmit Biswas, Diana Franklin, Alan Savage, Ryan Dixon, Timothy Sherwood, Frederic T. Chong |
Multi-execution: multicore caching for data-similar executions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 164-173, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data similar execution, multicore cache design, cmp |
40 | Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi |
Mixed-mode multicore reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009, pp. 169-180, 2009, ACM, 978-1-60558-406-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dual-modular redundancy, multicore |
40 | Torsten Polle, Michael Uelschen |
Tailoring and Optimising Software for Automotive Multicore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISoLA ![In: Leveraging Applications of Formal Methods, Verification and Validation, Third International Symposium, ISoLA 2008, Porto Sani, Greece, October 13-15, 2008. Proceedings, pp. 71-81, 2008, Springer, 978-3-540-88478-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Automotive Embedded Systems, Producer-Consumer Pattern, Scheduling, Multicore |
40 | Manjunath Kudlur, Scott A. Mahlke |
Orchestrating the execution of stream programs on multicore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, Tucson, AZ, USA, June 7-13, 2008, pp. 114-124, 2008, ACM, 978-1-59593-860-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multicore, software pipelining, cell processor, stream programming, streamit |
40 | Amith R. Mamidala, Rahul Kumar, Debraj De, Dhabaleswar K. Panda 0001 |
MPI Collectives on Modern Multicore Clusters: Performance Optimizations and Communication Characteristics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 8th IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2008), 19-22 May 2008, Lyon, France, pp. 130-137, 2008, IEEE Computer Society, 978-0-7695-3156-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
MPI Collectives, Multicore |
40 | Clemens Grelck, Sven-Bodo Scholz |
SAC: off-the-shelf support for data-parallelism on multicores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAMP ![In: Proceedings of the POPL 2007 Workshop on Declarative Aspects of Multicore Programming, DAMP 2007, Nice, France, January 16, 2007, pp. 25-33, 2007, ACM, 978-1-59593-690-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Single assignment C, automatic parallelisation, generic array programming, data parallel programming, multicore programming, SaC |
40 | Franz Franchetti, Yevgen Voronenko, Markus Püschel |
Tools and techniques for performance - FFT program generation for shared memory: SMP and multicore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 115, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
chip multiprocessor, fast fourier transform, shared memory, multicore, automatic parallelization |
40 | Wander O. Cesário, Amer Baghdadi, Lovic Gauthier, Damien Lyonnard, Gabriela Nicolescu, Yanick Paviot, Sungjoo Yoo, Ahmed Amine Jerraya, Mario Diaz-Nava |
Component-based design approach for multicore SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 789-794, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
HW/SW interfaces abstraction, multicore System-on-Chip, component-based design |
38 | Yosi Ben-Asher, Dimitry Giver, Gadi Haber, Gil Kulish |
HparC: a mixed nested shared memory and message passing programming style intended for grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SYSTOR ![In: Proceedings of of SYSTOR 2010: The 3rd Annual Haifa Experimental Systems Conference, Haifa, Israel, May 24-26, 2010, 2010, ACM, 978-1-60558-908-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cluster, internet, parallel, grid, multicore |
38 | David Dice, Nir Shavit |
TLRW: return of the read-write lock. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2010: Proceedings of the 22nd Annual ACM Symposium on Parallelism in Algorithms and Architectures, Thira, Santorini, Greece, June 13-15, 2010, pp. 284-293, 2010, ACM, 978-1-4503-0079-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicore processors, concurrent data structures |
38 | Vivek Sarkar |
Code optimization of parallel programs: evolutionary vs. revolutionary approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 1, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel programs, multicore processors, code optimization |
37 | Duc Vianney, Gadi Haber, Andre Heilper, Marcel Zalmanovici |
Performance analysis and visualization tools for cell/B.E. multicore environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, IFMT 2008, Cairo, Egypt, November 24-25, 2008, pp. 7, 2008, ACM, 978-1-60558-407-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Euler based particle simulation, code analyzer, control flow analyzer, counter analyzer, pipeline analyzer, profile analyzer, trace analyzer, virtual performance analyzer, cell broadband engine |
36 | Eshel Haritan, Toshihiro Hattori, Hiroyuki Yagi, Pierre G. Paulin, Wayne H. Wolf, Achim Nohl, Drew Wingard, Mike Muller |
Multicore design is the challenge! what is the solution? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 128-130, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
heterogeneous/homogenous multicore, symmetric/asymmetric multicore, multiprocessors, interconnect, multi-core, MPSoC, programming model, virtual prototyping, ESL, virtual platforms |
35 | Yinglong Xia, Viktor K. Prasanna |
Collaborative scheduling of DAG structured computations on multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 63-72, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dag structured computations, lock free structures, task sharing, collaborative scheduling |
35 | Victor Pankratius, Michael Philippsen |
New Horizons in Multicore Software Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (2) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 431-432, 2010, ACM. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
35 | Rajkishore Barik, Zoran Budimlic, Vincent Cavé, Sanjay Chatterjee, Yi Guo, David M. Peixotto, Raghavan Raman, Jun Shirako, Sagnak Tasirlar, Yonghong Yan 0001, Yisheng Zhao, Vivek Sarkar |
The habanero multicore software research project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 735-736, 2009, ACM, 978-1-60558-768-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
high productivity, parallel programming, declarative programming |
35 | Toshihiro Hanawa, Mitsuhisa Sato, Jinpil Lee, Takayuki Imada, Hideaki Kimura 0003, Taisuke Boku |
Evaluation of Multicore Processors for Embedded Systems by Parallel Benchmark Program Using OpenMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOMP ![In: Evolving OpenMP in an Age of Extreme Parallelism, 5th International Workshop on OpenMP, IWOMP 2009, Dresden, Germany, June 3-5, 2009, Proceedings, pp. 15-27, 2009, Springer, 978-3-642-02284-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Amrita Mathuriya, David A. Bader, Christine E. Heitsch, Stephen C. Harvey |
GTfold: a scalable multicore code for RNA secondary structure prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 981-988, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
ribosomal and viral RNA, parallel algorithms, computational biology |
35 | Yale N. Patt |
The Challenges of Multicore: Information and Mis-Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2009, 22nd International Conference, Delft, The Netherlands, March 10-13, 2009. Proceedings, pp. 3, 2009, Springer, 978-3-642-00453-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Manu Shantharam, Padma Raghavan, Mahmut T. Kandemir |
Hybrid Techniques for Fast Multicore Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 122-134, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Chihhsiong Shih, Chien-Ting Wu, Cheng-Yao Lin, Pao-Ann Hsiung, Nien-Lin Hsueh, Chih-Hung Chang, Chorng-Shiuh Koong, William C. Chu |
A Model-Driven Multicore Software Development Environment for Embedded System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (2) ![In: Proceedings of the 33rd Annual IEEE International Computer Software and Applications Conference, COMPSAC 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 2, pp. 261-268, 2009, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Yanan Yu, Ashok Srinivasan |
Dynamic iterations for the solution of ordinary differential equations on multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-10, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Rong Ge 0002, Xizhou Feng, Kirk W. Cameron |
Modeling and evaluating energy-performance efficiency of parallel processing on multicore based power aware systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
35 | Shay Gal-On, Markus Levy |
Measuring Multicore Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 41(11), pp. 99-102, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Juan Carlos Pichel, David E. Singh, Jesús Carretero 0001 |
Reordering Algorithms for Increasing Locality on Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 123-130, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | S. Huang, Yan Luo, Wu Feng |
Modeling and analysis of power in multicore network processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Samuel Williams 0001, Jonathan Carter, Leonid Oliker, John Shalf, Katherine A. Yelick |
Lattice Boltzmann simulation optimization on leading multicore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-14, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Xiaodong Zhang 0001 |
Research Issues and Challenges to Advance System Software for Multicore Processors and Data-Intensive Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC (1) ![In: 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), Shanghai, China, December 17-20, 2008, Volume I, pp. 4, 2008, IEEE Computer Society, 978-0-7695-3492-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Inchoon Yeo, Chih Chun Liu, Eun Jung Kim 0001 |
Predictive dynamic thermal management for multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 734-739, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
temperature |
35 | Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke |
Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 25-36, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Alfredo Buttari, Jack J. Dongarra, Jakub Kurzak, Julien Langou, Piotr Luszczek, Stanimire Tomov |
The Impact of Multicore on Math Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing. State of the Art in Scientific Computing, 8th International Workshop, PARA 2006, Umeå, Sweden, June 18-21, 2006, Revised Selected Papers, pp. 1-10, 2006, Springer, 978-3-540-75754-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Ken Kennedy |
Software Challenges for Multicore Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2006, 13th International Conference, Bangalore, India, December 18-21, 2006, Proceedings, pp. 4, 2006, Springer, 3-540-68039-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Kwei-Jay Lin, Shih-Wei Liao |
Service Monitoring and Management on Multicore Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEBE ![In: 2006 IEEE International Conference on e-Business Engineering (ICEBE 2006), 24-26 October 2006, Shanghai, China, pp. 623-630, 2006, IEEE Computer Society, 0-7695-2645-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
34 | Jungwoo Ha, Stephen P. Crago |
Opportunities for concurrent dynamic analysis with explicit inter-core communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 9th ACM SIGPLAN-SIGSOFT Workshop on Program Analysis for Software Tools and Engineering, PASTE'10, Toronto, Ontario, Canada, June 5-6, 2010, pp. 17-20, 2010, ACM, 978-1-4503-0082-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
inter-core communication, concurrency, dynamic analysis, instrumentation |
Displaying result #1 - #100 of 5715 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|