The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multithreading with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (16) 1994-1995 (28) 1996 (31) 1997 (26) 1998 (27) 1999 (49) 2000 (43) 2001 (42) 2002 (52) 2003 (55) 2004 (74) 2005 (76) 2006 (82) 2007 (83) 2008 (75) 2009 (76) 2010 (46) 2011 (21) 2012 (19) 2013 (15) 2014 (24) 2015 (17) 2016 (18) 2017 (15) 2018 (17) 2019 (17) 2020 (17) 2021-2022 (23) 2023-2024 (9)
Publication types (Num. hits)
article(227) book(4) incollection(7) inproceedings(838) phdthesis(17)
Venues (Conferences, Journals, ...)
IPDPS(42) PPoPP(30) ISCA(27) IEEE Trans. Computers(25) HPCA(21) ICS(19) Euro-Par(18) MICRO(18) IEEE Trans. Parallel Distribut...(16) IEEE PACT(15) PACT(15) ASPLOS(13) HiPC(13) ICPP(13) PLDI(12) CASES(11) More (+10 of total 414)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1414 occurrences of 593 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
128Ron Gabor, Shlomo Weiss, Avi Mendelson Fairness enforcement in switch on event multithreading. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SOE, Switch on Event multithreading, coarse-grained multithreading, weighted speedup, performance, fairness, throughput, multithreading
111Wlodzimierz M. Zuberek Modeling and Analysis of Dual Block Multithreading. Search on Bibsonomy FORTE Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction issuing, event–driven simulation, performance analysis, timed Petri nets, pipelined processors, Block multithreading
92Theo Ungerer, Borut Robic, Jurij Silc A survey of processors with explicit multithreading. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interleaved multithreading, simultaneous multithreading, Blocked multithreading
84Hantak Kwak, Ben Lee, Ali R. Hurson, Suk-Han Yoon, Woo-Jong Hahn Effects of Multithreading on Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF memory tolerance, context switching and locality, Multithreading, memory latency
80Ron Gabor, Shlomo Weiss, Avi Mendelson Fairness and Throughput in Switch on Event Multithreading. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
75Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 A continuation-based noninterruptible multithreading processor architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming
75Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Hybrid multithreading for VLIW processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multithreading, clustered VLIW processors
71Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo, Rebecca L. Stamm Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
71Dean M. Tullsen, Susan J. Eggers, Henry M. Levy Simultaneous Multithreading: Maximizing On-Chip Parallelism. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
70Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference
67Liang Peng, Ming-Dong Feng, Chung-Kwong Yuen Evaluation of the Performance of Multithreaded Cilk Runtime System on SMP Clusters. Search on Bibsonomy IWCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, cluster computing, multithreading
66Satoshi Amamiya, Masaaki Izumi, Takanori Matsuzaki, Ryuzo Hasegawa, Makoto Amamiya Fuce: the continuation-based multithreading processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF continuation-based multithread programming, multithreading, thread-level parallelism, chip multi-processor
66Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL
66Joan-Manuel Parcerisa, Antonio González 0001 Improving Latency Tolerance of Multithreading through Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity
62Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Carsten Albrecht, Rainer Hagenau, Andreas C. Döring Cooperative Software Multithreading to Enhance Utilization of Embedded Processors for Network Applications. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Beng-Hong Lim, Ricardo Bianchini Limits on the Performance Benefits of Multithreading and Prefetching. Search on Bibsonomy SIGMETRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
61Kenjiro Taura, Akinori Yonezawa Fine-grain Multithreading with Minimal Compiler Support - A Cost Effective Approach to Implementing Efficient Multithreading Languages. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
57Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Supporting multithreading in configurable soft processor cores. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft processor cores, multithreading
57Shigeru Kusakabe, Mitsuhiro Aono, Masaaki Izumi, Satoshi Amamiya, Yoshinari Nomura, Hideo Taniguchi, Makoto Amamiya Scalability of continuation-based fine-grained multithreading in handling multiple I/O requests on FUCE. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fine-grained multithreading, operating systems, I/O
57Pedro Trancoso, Paraskevas Evripidou, Kyriakos Stavrou, Costas Kyriacou A Case for Chip Multiprocessors Based on the Data-Driven Multithreading Model. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF data-driven execution, parallel processing, Chip multiprocessor, multithreading
57Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso Data-Driven Multithreading Using Conventional Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nonblocking threads, multiprocessors, high performance computing, multithreading, network of workstations, Dataflow, cache prefetching
57Allan Snavely, Dean M. Tullsen, Geoffrey M. Voelker Symbiotic jobscheduling with priorities for a simultaneous multithreading processor. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF job scheduling, priorities, simultaneous multithreading
53Jörg Domaschka, Thomas Bestfleisch, Franz J. Hauck, Hans P. Reiser, Rüdiger Kapitza Multithreading Strategies for Replicated Objects. Search on Bibsonomy Middleware The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Cluster-level simultaneous multithreading for VLIW processors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Eric Tune, Rakesh Kumar 0002, Dean M. Tullsen, Brad Calder Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Hyoseung Kim 0001, Hojung Cha Multithreading Optimization Techniques for Sensor Network Operating Systems. Search on Bibsonomy EWSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor network operating system, multithreading optimization technique
48Myungho Lee, Yeonseung Ryu, Tae-Sun Chung, Neungsoo Park Performance Evaluation of a Chip-MultiThreading Server for High Performance Computing Applications. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Chip-MultiThreading, Scalability, High Performance Computing, OpenMP, SMP
48Christopher J. F. Pickett, Clark Verbrugge SableSpMT: a software framework for analysing speculative multithreading in Java. Search on Bibsonomy PASTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF static and dynamic analysis, java, virtual machines, profiling, thread level speculation, speculative multithreading
48Todd C. Mowry, Sherwyn R. Ramkissoon Software-Controlled Multithreading Using Informing Memory Operations. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF shared-memory multiprocessing, Multithreading, cache performance
45Andrew Sohn, Yuetsu Kodama, Jui-Yuan Ku, Mitsuhisa Sato, Yoshinori Yamaguchi Tolerating Communication Latency through Dynamic Thread Invocation in a Multithreaded Architecture. Search on Bibsonomy Compiler Optimizations for Scalable Parallel Systems Languages The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Takashi Hashimoto, Kazuaki J. Murakami, Tetsuo Hironaka, Hiroto Yasuura A Micro-Vectorprocessor Architecture: Performance Modeling and Benchmarking. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
44Bruno Girodias, Youcef Bouchebaba, Gabriela Nicolescu, El Mostapha Aboulhamid, Pierre G. Paulin, Bruno Lavigueur Multiprocessor, Multithreading and Memory Optimization for On-Chip Multimedia Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multiprocessors System on Chip (MPSoC), Optimizations, Multimedia, Parallelism, Memory, Multi-threading
44Victor N. Epitropou, Konstantinos M. Giannoutakis, George A. Gravvanis Java Multithreading based Parallel Preconditioned Generalized Conjugate Gradient type methods. Search on Bibsonomy ISPDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Jui-Chin Chu, Wei-Chun Ku, Shu-Hsuan Chou, Tien-Fu Chen, Jiun-In Guo An Embedded Coherent-Multithreading Multimedia Processor and Its Programming Model. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper Threads via Virtual Multithreading. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44H. Martin Bücker, Bruno Lang, Hans-Joachim Pflug, Andre Vehreschild Threads in an Undergraduate Course: A Java Example Illuminating Different Multithreading Approaches. Search on Bibsonomy ICCSA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Gordon J. Brebner Multithreading for Logic-Centric Systems. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Mohamed M. Zahran, Manoj Franklin A Feasibility Study of Hierarchical Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Takashi Ishihara, Tiejun Li, Eugene F. Fodor, Ronald A. Olsson A Comparison of Concurrent Programming and Cooperative Multithreading. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Haitham Akkary, Sébastien Hily The Case for Speculative Multithreading on SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Andrew Sohn, Mitsuhisa Sato, Namhoon Yoo, Jean-Luc Gaudiot Effects of Multithreading on Data and Workload Distribution for Distributed-Memory Multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Matthew Haines, A. P. Wim Böhm Task Management, Virtual Shared Memory, and Multithreading in a Distributed Memory Implementation of Sisal. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
40Christopher Ostler, Karam S. Chatha, Vijay Ramamurthi, Krishnan Srinivasan ILP and heuristic techniques for system-level design on network processor architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessor, block multithreading
40Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability
40Arun Rodrigues, Richard C. Murphy, Peter M. Kogge, Keith D. Underwood Characterizing a new class of threads in scientific applications for high end supercomputers. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compilers, multithreading
39Stijn Eyerman, Lieven Eeckhout Memory-level parallelism aware fetch policies for simultaneous multithreading processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fetch Policy, Simultaneous Multithreading (SMT), Memory-Level Parallelism (MLP)
39Marek Olszewski, Jason Ansel, Saman P. Amarasinghe Kendo: efficient deterministic multithreading in software. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF deterministic multithreading, parallel programming, debugging, multicore, determinism
39Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the performance limits of simultaneous multithreading for memory intensive applications. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative precomputation, Performance analysis, Instruction-level parallelism, Thread-level parallelism, Simultaneous multithreading, Software prefetching
39Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh On the potential of latency tolerant execution in speculative multithreading. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors
39Christopher J. F. Pickett Software speculative multithreading for Java. Search on Bibsonomy OOPSLA Companion The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, parallelism, virtual machines, thread level speculation, speculative multithreading
39Yaoping Ruan, Vivek S. Pai, Erich M. Nahum, John M. Tracey Evaluating the impact of simultaneous multithreading on network servers using real hardware. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simultaneous multithreading(SMT), network server
39Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron, Pradip Bose Understanding the energy efficiency of simultaneous multithreading. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multithreading
39Yu-Kwong Kwok On Exploiting Heterogeneity for Cluster Based Parallel Multithreading Using Task Duplication. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel multithreading, Linux PC cluster, scheduling, heterogeneous systems, task graphs, task duplication, protocol processing
39Anasua Bhowmik, Manoj Franklin A fast approximate interprocedural analysis for speculative multithreading compilers. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pointer analysis, thread-level parallelism (TLP), interprocedural analysis, speculative multithreading (SpMT)
39Peng-Sheng Chen, Ming-Yu Hung, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Compiler support for speculative multithreading architecture with probabilistic points-to analysis. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF probabilistic points-to analysis, parallelization, dependence analysis, speculative multithreading
39Pedro Marcuello, Antonio González 0001 Thread-Spawning Schemes for Speculative Multithreading. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Thread-spawning policies, Thread-level parallelism, Speculative multithreading
39Anasua Bhowmik, Manoj Franklin A general compiler framework for speculative multithreading. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TLP compiler, thread formation, parallelization, data dependence, thread-level parallelism (TLP), control dependence, speculative multithreading (SpMT)
39Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen Tuning Compiler Optimizations for Simultaneous Multithreading. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size
39Henk L. Muller, Paul W. A. Stallard, David H. D. Warren Multitasking and Multithreading on a Multiprocessor with Virtual Shared Memory. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multithreading, Multitasking, COMA, virtual shared memory
39Li Cheng, Dingxing Wang, Meiming Shen, Weimin Zheng, Peng Shanling The Compiler for Supporting Multithreading in Cyclic Register Windows. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipeline, Multithreading, compilation optimization, register allocation, multicomputers
36Wlodzimierz M. Zuberek Enhanced Interleaved Multithreaded Multiprocessors and Their Performance Analysis. Search on Bibsonomy ACSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Interleaved multithreaded architectures, performance analysis, timed Petri nets, distributed-memory multiprocessors, event-driven simulation
36Mark N. Yankelevsky, Constantine D. Polychronopoulos alpha-coral: a multigrain, multithreaded processor architecture. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF processor archietecture, multithreaded, parallelizing compiler
36Yong-Kim Chong, Kai Hwang 0001 Performance Analysis of Four Memory Consistency Models for Multithreaded Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF latency hiding techniques, performance evaluation, Distributed shared memory, stochastic Petri nets, multithreaded processors, memory consistency models, context switching, scalable multiprocessors
35Zheng Chen, Yin-Liang Zhao, Xiao-Yu Pan, Zhao-Yu Dong, Bing Gao, Zhi-Wen Zhong An Overview of Prophet. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thread partitioning, Pre-computation slice, Speculative Multithreading Architecture, Thread level parallelism, Speculative multithreading
35David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
35Kevin Schaffer, Robert A. Walker 0001 Using hardware multithreading to overcome broadcast/reduction latency in an associative SIMD processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Carolina Bonacic, Carlos García 0001, Mauricio Marín, Manuel Prieto 0001, Francisco Tirado, Cesar Vicente Improving Search Engines Performance on Multithreading Processors. Search on Bibsonomy VECPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Jörg Domaschka, Andreas Ingmar Schmied, Hans P. Reiser, Franz J. Hauck Revisiting Deterministic Multithreading Strategies. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Kridsadakorn Chaichoompu, Surin Kittitornkun, Sissades Tongsima MT-ClustalW: multithreading multiple sequence alignment. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Lei Wang 0003 Error-tolerance memory Microarchitecture via Dynamic Multithreading. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Erik Norden Keynote: Multithreading for Low-Cost, Low-Power Applications. Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Philip James-Roxby, Gordon J. Brebner Multithreading in a Hyper-programmable Platform for Networked Systems. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso CacheFlow: A Short-Term Optimal Cache Management Policy for Data Driven Multithreading. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Alexandra Fedorova, Christopher Small 0001, Daniel Nussbaum, Margo I. Seltzer Chip multithreading systems need a new operating system scheduler. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Saehwa Kim, Michael Buettner, Mark Hermeling, Seongsoo Hong Experimental Assessment of Scenario-Based Multithreading for Real-Time Object-Oriented Models: A Case Study with PBX Systems. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Nathan Tuck, Dean M. Tullsen Initial Observations of the Simultaneous Multithreading Pentium 4 Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Kai-Feng Wang, Zhenzhou Ji, Mingzeng Hu Simultaneous Multithreading Trace Processors. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Amir Roth, Gurindar S. Sohi Speculative Data-Driven Multithreading. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Emre Özer 0001, Thomas M. Conte, Saurabh Sharma Weld: A Multithreading Technique Towards Latency-Tolerant VLIW Processors. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Kenji Watanabe, Wanming Chu, Yamin Li Exploiting Java Instruction/Thread Level Parallelism with Horizontal Multithreading. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Costas Kyriacou, Paraskevas Evripidou Communication Assist for Data Driven Multithreading. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Joan-Manuel Parcerisa, Antonio González 0001 The Synergy of Multithreading and Access/Execute Decoupling. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Daniel Ortiz Arroyo, Ben Lee, Suk-Han Yoon, Kee-Wook Rim A Preliminary Performance Study of Architectural Support for Multithreading. Search on Bibsonomy HICSS (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
35Vladimir Vlassov, Lars-Erik Thorelli Analytical Models of Multithreading with Data Prefetching. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Pradeep K. Dubey, Kevin O'Brien, Kathryn M. O'Brien, Charles Barton Single-program speculative multithreading (SPSM) architecture: compiler-assisted fine-grained multithreading. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
31Hikmet Dursun, Ken-ichi Nomura, Liu Peng, Richard Seymour, Weiqiang Wang, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta A Multilevel Parallelization Framework for High-Order Stencil Computations. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF single instruction multiple data parallelism, spatial decomposition, message passing, multithreading, Stencil computation
31Josefa Díaz, José Ignacio Hidalgo, Francisco Fernández 0001, Oscar Garnica, Sonia López Improving SMT performance: an application of genetic algorithms to configure resizable caches. Search on Bibsonomy GECCO (Companion) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable caches, genetic algorithms, optimization, caches memories, simultaneous multithreading, gals, adaptive caches
31Wangyuan Zhang, Xin Fu, Tao Li 0006, José A. B. Fortes An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-aware reliability optimization, microarchitecture vulnerability, simultaneous multithreaded architecture, semiconductor transient fault, microprocessor reliability, processor throughput, soft error vulnerability analysis, SPEC CPU 2000 benchmark, microarchitecture structure, microarchitecture reliability profile, fetch policy, thread-level parallelism, multithreading architecture
31Anders Gidenstam, Marina Papatriantafilou LFthreads: A Lock-Free Thread Library. Search on Bibsonomy OPODIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synchronization, multiprocessors, shared memory, multithreading, multicores, lock-free
31Shi-Wu Lo Data sharing protocols for SMT processors. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, real-time, simultaneously multithreading
31Jih-Fu Tu Cache Management for Discrete Processor Architectures. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency
31Peng-Sheng Chen, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Interprocedural Probabilistic Pointer Analysis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interprocedural program analysis, optimization, compilers, Multithreading, speculation, points-to analysis
31Bartosz Balis, Marian Bubak, Wlodzimierz Funika, Roland Wismüller, Grzegorz Kaplita TOM - Efficient Monitoring Infrastructure for Multithreaded Programs. Search on Bibsonomy PVM/MPI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance analysis, monitoring, debugging, multithreading, parallel tools
31Albano Agostinho Gomes Alves, António Pina, José Luís Padrão Exposto, José Rufino ToCL: A Thread Oriented Communication Library to Interface VIA and GM Protocols. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF intermediate-level library, message-passing, multithreading
31Anasua Bhowmik, Manoj Franklin Exploiting Data Value Prediction in Compiler Based Thread Formation. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data value prediction, parallelization, profiling, data dependency, thread-level parallelism (TLP), speculative multithreading (SpMT)
31Bartosz Balis, Marian Bubak, Wlodzimierz Funika, Roland Wismüller A Concept of Portable Monitoring of Multithreaded Programs. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF monitoring, shared memory, Multithreading, parallel tools
31Iffat H. Kazi, David J. Lilja JavaSpMT: A Speculative Thread Pipelining Parallelization Model for Java Programs. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF run-time dependence checking, shared-memory multprocessors, Java, multithreading, speculative execution, Parallelization model
30Aviral Shrivastava, Jared Pager, Reiley Jeyapaul, Mahdi Hamzeh, Sarma B. K. Vrudhula Enabling Multithreading on CGRAs. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CGRA, processor accelerator, dynamic threading, runtime scheduling, page-based mapping, CGRA mapping technique, low power, multithreading, compiler optimization, scheduling technique
30Manoj Gupta 0001, Fermín Sánchez, Josep Llosa CSMT: Simultaneous Multithreading for Clustered VLIW Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, ILP, simultaneous multithreading, multithreaded processors, VLIW architectures
Displaying result #1 - #100 of 1093 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license