The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for nonvolatile with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1997 (16) 1998-2000 (18) 2001-2004 (19) 2005-2006 (26) 2007-2008 (17) 2009 (17) 2010 (15) 2011-2012 (46) 2013 (32) 2014 (38) 2015 (50) 2016 (41) 2017 (50) 2018 (43) 2019 (42) 2020 (34) 2021 (37) 2022 (26) 2023 (24) 2024 (9)
Publication types (Num. hits)
article(323) incollection(1) inproceedings(273) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 97 occurrences of 72 keywords

Results
Found 600 publication records. Showing 600 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
81John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
64Ping Zhou, Bo Zhao 0007, Jun Yang 0002, Youtao Zhang A durable and energy efficient main memory using phase change memory technology. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, phase change memory, endurance
56Jeanna N. Matthews, Sanjeev N. Trika, Debra Hensgen, Rick Coulson, Knut Grimsrud Intel Turbo Memory: Nonvolatile disk caches in the storage hierarchy of mainstream computer systems. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NAND, write-back, solid-state disk, disk cache, Nonvolatile memory
56Erwin J. Prinz The zen of nonvolatile memories. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FeRAM, SONOS, nanocrystal, oating gate, phase change memory, MRAM, nonvolatile memories
55Shinichi Yasuda, Tetsufumi Tanamoto, Kazutaka Ikegami, Atsuhiro Kinoshita, Keiko Abe, Hirotaka Nishino, Shinobu Fujita High-performance FPGA based on novel DSS-MOSFET and non-volatile configuration memory (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dopant-segregated schottky transistor, nonvolatile configurable memory
39Richard F. Freitas Storage class memory: technology, systems and applications. Search on Bibsonomy SIGMOD Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF storage class memory, phase change memory, nonvolatile memory
39Alexander Thomasian, Jai Menon 0001 RAID5 Performance with Distributed Sparing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RAID5 disk arrays, dedicated sparing, distributed sparing, operation in degraded mode, rebuild processing, striping unit, small-write syndrome, nonvolatile storage, fast writes, disk zoning, fork-join synchronization, vacationing server model, disk response time, rebuild time, nonpreemptive and preemptive priority queuing, fault-tolerance, performance analysis, queuing theory, M/G/1 queues, disk cache, disk failures
33Albert Lee, Chieh-Pu Lo, Chien-Chen Lin, Wei-Hao Chen, Kuo-Hsiang Hsu, Zhibo Wang 0004, Fang Su, Zhe Yuan, Qi Wei 0001, Ya-Chin King, Chrong Jung Lin, Hochul Lee, Pedram Khalili Amiri, Kang-Lung Wang, Yu Wang 0002, Huazhong Yang, Yongpan Liu, Meng-Fan Chang A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile Processors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Masaru Kudo, Kimiyoshi Usami Nonvolatile power gating with MTJ based nonvolatile flip-flops for a microprocessor. Search on Bibsonomy NVMSA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Tetsuo Endoh Embedded nonvolatile memory with STT-MRAMs and its application for nonvolatile brain-inspired VLSIs. Search on Bibsonomy VLSI-DAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Yongpan Liu, Zhibo Wang 0004, Albert Lee, Fang Su, Chieh-Pu Lo, Zhe Yuan, Chien-Chen Lin, Qi Wei 0001, Yu Wang 0002, Ya-Chin King, Chrong Jung Lin, Pedram Khalili, Kang-Lung Wang, Meng-Fan Chang, Huazhong Yang 4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic. Search on Bibsonomy ISSCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Meng-Fan Chang, Ching-Hao Chuang, Min-Ping Chen, Lai-Fu Chen, Hiroyuki Yamauchi, Pi-Feng Chiu, Shyh-Shyuan Sheu Endurance-aware circuit designs of nonvolatile logic and nonvolatile sram using resistive memory (memristor) device. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
33Chun-Chen Yeh, Eugenio Culurciello Nonvolatile Flash Memories in Silicon-on-sapphire CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Yasunao Katayama, Yasushi Negishi, Sumio Morioka Efficient Error Correction Code Configurations for Quasi-Nonvolatile Data Retention by DRAMs. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Yasunao Katayama, Eric J. Stuckey, Sumio Morioka, Zhao Wu Fault-Tolerant Refresh Power Reduction of DRAMs for Quasi-Nonvolatile Data Retention. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Love Kothari, Nicholas P. Carter Architecture of a Self-Checkpointing Microprocessor that Incorporates Nanomagnetic Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory technologies, low-power design, Emerging technologies
32John P. Scheible A Survey of Storage Options. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Dimin Niu, Yiran Chen 0001, Yuan Xie 0001 Low-power dual-element memristor based memory design. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memristor, low power, nonvolatile memory
23Dimin Niu, Yiran Chen 0001, Cong Xu, Yuan Xie 0001 Impact of process variations on emerging memristor. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memristor, process variation, nonvolatile memory
23Weisheng Zhao, Eric Belhaire, Claude Chappert, Bernard Dieny, Guillaume Prenat TAS-MRAM-Based Low-Power High-Speed Runtime Reconfiguration (RTR) FPGA. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Look-Up Table (LUT), MTJ, TAS, multi-context configuration, nonvolatile, Simulation, FPGA, architecture, low power, dynamical reconfiguration, flip-flop, MRAM
23Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia Hybrid CMOS-STTRAM non-volatile FPGA: design challenges and optimization approaches. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF STTRAM, emerging memory technologies, nonvolatile FPGA
23Swarup Bhunia, Massood Tabib-Azar, Daniel G. Saab Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable instant-on system, ultralow-power reconfigurable computing, complementary nanoelectromechanical carbon nanotube switches, coplanar carbon nanotubes, low operation voltages, built-in energy storage, CNEMS, stable on-off state, latching mechanism, nonvolatile memory-mode operation, CMOS transistors, system development, leakage current
23Shoun Matsunaga, Takahiro Hanyu, Hiromitsu Kimura, Takashi Nakamura, Hidemi Takasu Implementation of a Standby-Power-Free CAM Based on Complementary Ferroelectric-Capacitor Logic. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.35 micron, standby-power-free CAM, complementary ferroelectric-capacitor logic, CFC logic circuit, nonvolatile storage, ferroelectric CMOS, content-addressable memory
23Luca Benini, Alberto Macii, Massimo Poncino Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nonvolatile, Embedded systems, integration, memories, system-on-a-chip, embedded memories, volatile
23Ali Sheikholeslami, P. Glenn Gulak, Takahiro Hanyu A Multiple-Valued Ferroelectric Content-Addressable Memory. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ferroelectric, nonvolatile, memory, associative, CAM, content-addressable, multiple-valued
23Jai Menon 0001 A Performance Comparison of RAID-5 and Log-Structured Arrays. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RAID-5, log-structured arrays, transaction-processing workloads, outboard disk controller, nonvolatile cache, physical disks, storage management, cache storage, performance comparison, compression ratio
23Eliezer Levy, Abraham Silberschatz Incremental Recovery in Main Memory Database Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF incremental database recovery, online transaction processing systems, memory database systems, page-based incremental restart algorithm, high-level recovery manager, nonvolatile RAM, database management systems, checkpointing, transaction processing, storage management, updates, system recovery
17Hung-Hsi Hsu, Tai-Hao Wen, Wei-Hsing Huang, Win-San Khwa, Yun-Chen Lo, Chuan-Jia Jhang, Yu-Hsiang Chin, Yu-Chiao Chen, Chung-Chuan Lo, Ren-Shuo Liu, Kea-Tiong Tang, Chih-Cheng Hsieh, Yu-Der Chih, Tsung-Yung Jonathan Chang, Meng-Fan Chang A Nonvolatile AI-Edge Processor With SLC-MLC Hybrid ReRAM Compute-in-Memory Macro Using Current-Voltage-Hybrid Readout Scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Tatsuya Kubo, Shinya Takamaeda-Yamazaki Cachet: Low-Overhead Integrity Verification on Metadata Cache in Secure Nonvolatile Memory Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Kimiyoshi Usami, Daiki Yokoyama, Aika Kamei, Hideharu Amano, Kenta Suzuki, Keizo Hiraga, Kazuhiro Bessho Optimized Two-Step Store Control for MTJ-Based Nonvolatile Flip-Flops to Minimize Store Energy Under Process and Temperature Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Aibin Yan, Litao Wang, Jie Cui 0004, Zhengfeng Huang, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen Nonvolatile Latch Designs With Node-Upset Tolerance and Recovery Using Magnetic Tunnel Junctions and CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Quanli Deng, Chunhua Wang 0001, Jingru Sun, Yichuang Sun, Jinguang Jiang, Hairong Lin, Zekun Deng Nonvolatile CMOS Memristor, Reconfigurable Array, and Its Application in Power Load Forecasting. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Manuel Escudero, Sabina Spiga, Mauro Di Marco, Mauro Forti, Giacomo Innocenti, Alberto Tesi, Fernando Corinto, Stefano Brivio Chua's Circuit With Tunable Nonlinearity Based on a Nonvolatile Memristor: Design and Realization. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Sekeon Kim, Sehee Lim, Dong Han Ko, Tae Woo Oh, Seong-Ook Jung Ferroelectric FET Nonvolatile Sense-Amplifier-Based Flip-Flops for Low Voltage Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Mengying Zhao, Shuo Xu, Lihao Dong, Chun Jason Xue, Dongxiao Yu, Xiaojun Cai, Zhiping Jia Branch Predictor Design for Energy Harvesting Powered Nonvolatile Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Donguk Kim, Jongsung Lee 0001, Keun Soo Lim, Jun Heo 0001, Tae Jun Ham, Jae W. Lee An LSM Tree Augmented with B+ Tree on Nonvolatile Memory. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Yuankang Zhao, Salim Ullah, Siva Satyendra Sahoo, Akash Kumar 0001 NvMISC: Toward an FPGA-Based Emulation Platform for RISC-V and Nonvolatile Memories. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Arijit Nath, Hemangee K. Kapoor CADEN: Compression-Assisted Adaptive Encoding to Improve Lifetime of Encrypted Nonvolatile Main Memories. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Liu Xi, Ya Wang, Meile Wu, Lin Qi, Mengmeng Li, Shouqiang Zhang, Xiaoshi Jin A Complementary Low Schottky Barrier Nonvolatile Bidirectional Reconfigurable Field Effect Transistor Based on Dual Metal Silicide S/D Contacts. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Aika Kamei, Hideharu Amano, Takuya Kojima, Daiki Yokoyama, Kimiyoshi Usami, Keizo Hiraga, Kenta Suzuki, Kazuhiro Bessho A Variation-Aware MTJ Store Energy Estimation Model for Edge Devices With Verify-and-Retryable Nonvolatile Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bayartulga Ishdorj, Taehui Na Spin-Transfer-Torque Magnetic-Tunnel-Junction-Based Low-Power Nonvolatile Flip-Flop Designs in the Subthreshold Voltage Region. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mahan Rezaei, Abdolah Amirany, Mohammad Hossein Moaiyeri, Kian Jafari A high-capacity and nonvolatile spintronic associative memory hardware accelerator. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Tongda Wu, Kaisheng Ma, Jingtong Hu, Jason Xue, Jinyang Li 0002, Xin Shi, Huazhong Yang, Yongpan Liu Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Nils Hölscher, Christian Hakert, Hassan Nassar, Kuan-Hsun Chen, Lars Bauer, Jian-Jia Chen, Jörg Henkel Memory Carousel: LLVM-Based Bitwise Wear Leveling for Nonvolatile Main Memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Sivert T. Sliper, William Wang, Nikos Nikoleris, Alex S. Weddell, Anand Savanth, Pranay Prabhat, Geoff V. Merrett Pragmatic Memory-System Support for Intermittent Computing Using Emerging Nonvolatile Memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yueting Li, Wang Kang 0001, Kunyu Zhou, Keni Qiu, Weisheng Zhao Experimental Demonstration of STT-MRAM-based Nonvolatile Instantly On/Off System for IoT Applications: Case Studies. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Abdelrahman G. Qoutb, Eby G. Friedman Double magnetic tunnel junction two bit memory and nonvolatile logic for in situ computing. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Adnan Mehonic, Dovydas Joksas Emerging Nonvolatile Memories for Machine Learning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yuki Abe, Kazutoshi Kobayashi, Jun Shiomi, Hiroyuki Ochi Nonvolatile Storage Cells Using FiCC for IoT Processors with Intermittent Operations. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Kaede Sakai, Masanori Natsui, Takahiro Hanyu Design of an Error-Tolerant Nonvolatile Register for Energy-Aware Intermittent Computing. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Hung-Hsi Hsu, Tai-Hao Wen, Ping-Chun Wu, Chuan-Jia Jhang, De-Qi You, Ping-Cheng Chen, Meng-Fan Chang Challenges in Circuits of Nonvolatile Compute-In-Memory for Edge AI Chips. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ken Sato, Daisuke Suzuki Design of a Nonvolatile-Neural-Network-Accelerator-Embedded Edge-IoT Device and Its Hardware Emulation. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Lautaro N. Petrauskas, R. Anju Kumari, Bahman Kheradmand Boroujeni, Stefan C. B. Mannsfeld, Frank Ellinger Modeling of a Nonvolatile Organic Memory Device with Memcapacitve Properties. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Tai-Hao Wen, Je-Min Hung, Hung-Hsi Hsu, Yuan Wu 0009, Fu-Chun Chang, Chung-Yuan Li, Chih-Han Chien, Chin-I Su, Win-San Khwa, Jui-Jen Wu, Chung-Chuan Lo, Ren-Shuo Liu, Chih-Cheng Hsieh, Kea-Tiong Tang, Mon-Shu Ho, Yu-Der Chih, Tsung-Yung Jonathan Chang, Meng-Fan Chang A 28nm Nonvolatile AI Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 TOPS/W for Tiny AI Edge Devices. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Isaac Luntadila Lufungula, Bart Kuyken Nonvolatile Switching in a Ring Resonator with Saturable Absorption. Search on Bibsonomy PSC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Wei-Hsing Huang, Tai-Hao Wen, Je-Min Hung, Win-San Khwa, Yun-Chen Lo, Chuan-Jia Jhang, Hung-Hsi Hsu, Yu-Hsiang Chin, Yu-Chiao Chen, Chuna-Chuan Lo, Ren-Shuo Liu, Kea-Tiong Tang, Chih-Cheng Hsieh, Yu-Der Chih, Tsung-Yung Jonathan Chang, Meng-Fan Chang A Nonvolatile Al-Edge Processor with 4MB SLC-MLC Hybrid-Mode ReRAM Compute-in-Memory Macro and 51.4-251TOPS/W. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Shamiul Alam, Kazi Asifuzzaman, Ahmedullah Aziz A Novel Scalable Array Design for III-V Compound Semiconductor-based Nonvolatile Memory (UltraRAM) with Separate Read-Write Paths. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yuan Xing, Feng Zhao Natural Organic Fructose-based Nonvolatile Resistive Switching Memory for Environmental Sustainability in Computing. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Salonik Resch, M. Hüsrev Cilasun, Zamshed I. Chowdhury, Masoud Zabihi, Zhengyang Zhao, Jian-Ping Wang 0006, Sachin S. Sapatnekar, Ulya R. Karpuzcu On Endurance of Processing in (Nonvolatile) Memory. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mengying Zhao, Fanjin Xu, Huichuan Zheng, Hao Zhang, Yuqing Xiong, Zhiping Jia, Xiaojun Cai Correlation-guided Placement for Nonvolatile FPGAs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Aram Yousefi, Nima Eslami, Mohammad Hossein Moaiyeri A Reliable and Energy-Efficient Nonvolatile Ternary Memory Based on Hybrid FinFET/RRAM Technology. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Golnaz Korkian, Daniel León, Francisco J. Franco, Juan Carlos Fabero, Manon Letiche, Yolanda Morilla, Pedro Martín-Holgado, Helmut Puchner, Hortensia Mecha, Juan Antonio Clemente Single Event Upsets Under Proton, Thermal, and Fast Neutron Irradiation in Emerging Nonvolatile Memories. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Arijit Nath, Hemangee K. Kapoor Pop-Crypt: Identification and Management of Popular Words for Enhancing Lifetime of EnCrypted Nonvolatile Main Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yan Wang 0022, Kenli Li 0001, Xia Deng, Keqin Li 0001 Performance-aware cache management for energy-harvesting nonvolatile processors. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Abdolah Amirany, Mohammad Hossein Moaiyeri, Kian Jafari Nonvolatile Associative Memory Design Based on Spintronic Synapses and CNTFET Neurons. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Lixia Han, Peng Huang 0004, Yijiao Wang, Zheng Zhou, Yizhou Zhang, Xiaoyan Liu, Jinfeng Kang Efficient Discrete Temporal Coding Spike-Driven In-Memory Computing Macro for Deep Neural Network Based on Nonvolatile Memory. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Chunhua Xiao, Lin Zhang, Mingliang Zhou Tnvmalloc: A Thread-Level-Based Wear-Aware Allocator for Nonvolatile Main Memory. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Huichuan Zheng, Hao Zhang, Shuo Xu, Fanjin Xu, Mengying Zhao Adaptive Mode Transformation for Wear Leveling in Nonvolatile FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Ahmet Inci, Mehmet Meric Isgenc, Diana Marculescu DeepNVM++: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep Learning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tao Luo 0014, Liwei Yang, Huaipeng Zhang, Chuping Qu, Xuan Wang, Yingnan Cui, Weng-Fai Wong, Rick Siow Mong Goh NC-Net: Efficient Neuromorphic Computing Using Aggregated Subnets on a Crossbar-Based Architecture With Nonvolatile Memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Salonik Resch, S. Karen Khatamifard, Zamshed I. Chowdhury, Masoud Zabihi, Zhengyang Zhao, M. Hüsrev Cilasun, Jianping Wang 0006, Sachin S. Sapatnekar, Ulya R. Karpuzcu Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Huai Lin, Nuo Xu, Di Wang, Long Liu, Xuefeng Zhao, Yongjian Zhou, Xuming Luo, Cheng Song, Guoqiang Yu, Guozhong Xing Implementation of Highly Reliable and Energy-Efficient Nonvolatile In-Memory Computing using Multistate Domain Wall Spin-Orbit Torque Device. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Huai Lin, Nuo Xu, Di Wang, Long Liu, Xuefeng Zhao, Yongjian Zhou, Xuming Luo, Cheng Song, Guoqiang Yu, Guozhong Xing Implementation of Highly Reliable and Energy-Efficient Nonvolatile In-Memory Computing using Multistate Domain Wall Spin-Orbit Torque Device. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jae Hur, Yuan-Chun Luo, Anni Lu, Tzu-Han Wang, Shaolan Li, Asif Islam Khan, Shimeng Yu Nonvolatile Capacitive Crossbar Array for In-Memory Computing. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Karam Cho, Sumeet Kumar Gupta Valley-Spin Hall Effect-based Nonvolatile Memory with Exchange-Coupling-Enabled Electrical Isolation of Read and Write Paths. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Diana Kim, Virgil Watkins, Laszlo Cline, Jingxian Li, Kai Sun, Joshua D. Sugar, Elliot J. Fuller, A. Alec Talin, Yiyang Li Nonvolatile Electrochemical Random-Access Memory Under Short Circuit. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yan Wang, Henian Fang, Linbo Long, Jinhui Liu Performance-oriented cache management scheme based on a retention state for energy-harvesting nonvolatile processors. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Hao Zhang, Huichuan Zheng, Shuangliang Li, Yuhao Zhang, Mengying Zhao, Xiaojun Cai Lifetime improvement through adaptive reconfiguration for nonvolatile FPGAs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Keisuke Sakamoto, Masanori Natsui, Takahiro Hanyu Energy-Efficient Nonvolatile RISC-V CPU with a Custom Instruction-Controlled Accelerator. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yuki Abe, Kazutoshi Kobayashi, Hiroyuki Ochi Nonvolatile Flip-Flops Using FiCC for IoT Processors with Intermittent Operations. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Daisuke Suzuki, Takahiro Hanyu A Spintronics-Based Nonvolatile FPGA and Its Application to Edge-AI Accelerator. Search on Bibsonomy MCSoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Pin-Tzu Huang, Ting-Syuan Lin, Po-Chun Huang Extendable B-tree on Multi-channel Nonvolatile Memory Devices. Search on Bibsonomy ICKII The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yuki Abe, Kazutoshi Kobayashi, Jun Shiomi, Hiroyuki Ochi Zero-standby-power Nonvolatile Standard Cell Memory Using FiCC for IoT Processors with Intermittent Operations. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Je-Min Hung, Yen-Hsiang Huang, Sheng-Po Huang, Fu-Chun Chang, Tai-Hao Wen, Chin-I Su, Win-San Khwa, Chung-Chuan Lo, Ren-Shuo Liu, Chih-Cheng Hsieh, Kea-Tiong Tang, Yu-Der Chih, Tsung-Yung Jonathan Chang, Meng-Fan Chang An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Abdelrahman G. Qoutb, Eby G. Friedman Double Magnetic Tunnel Junction-Based Nonvolatile Logic. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jianfeng Wang, Nuo Xiu, Juejian Wu, Yiming Chen, Yanan Sun 0003, Huazhong Yang, Vijaykrishnan Narayanan, Sumitha George, Xueqing Li An 8T/Cell FeFET-Based Nonvolatile SRAM with Improved Density and Sub-fJ Backup and Restore Energy. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17R. Geetha Ramani, S. Suresh Kumar Nonvolatile kernel rootkit detection using cross-view clean boot in cloud computing. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Zixuan Wang, Xiao Liu 0033, Jian Yang, Theodore Michailidis, Steven Swanson, Jishen Zhao Characterizing and Modeling Nonvolatile Memory Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jun-Ho Choi, Jun-Hyuk Kim, Manri Cheon, Jong-Seok Lee Volatile-Nonvolatile Memory Network for Progressive Image Super-Resolution. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Se Keon Kim, Tae Woo Oh, Sehee Lim, Dong Han Ko, Seong-Ook Jung High-Performance and Area-Efficient Ferroelectric FET-Based Nonvolatile Flip-Flops. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Moaiyeri, Mohammad Khaleqi Qaleh Jooq, Alaaddin Al-Shidaifat, Hanjung Song Breaking the Limits in Ternary Logic: An Ultra-Efficient Auto-Backup/Restore Nonvolatile Ternary Flip-Flop Using Negative Capacitance CNTFET Technology. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Abdolah Amirany, Kian Jafari, Mohammad Hossein Moaiyeri High-Performance Spintronic Nonvolatile Ternary Flip-Flop and Universal Shift Register. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Yongwoon Song, Jooyoung Hwang, Insoon Jo, Hyukjun Lee Highly Available Packet Buffer Design With Hybrid Nonvolatile Memory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ali Hoseinghorban, Amir Mahdi Hosseini Monazzah, Mostafa Bazzaz, Bardia Safaei 0001, Alireza Ejlali COACH: Consistency Aware Check-Pointing for Nonvolatile Processor in Energy Harvesting Systems. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Mehrdad Morsali, Mohammad Hossein Moaiyeri Ultra-High-Performance Magnetic Nonvolatile Level Converter Flip-Flop with Spin-Hall Assistance for Dual-Supply Systems with Power Gating Architecture. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Linfang Wang, Wang Ye, Chunmeng Dou, Xin Si, Xiaoxin Xu, Jing Liu, Dashan Shang, Jianfeng Gao 0005, Feng Zhang 0014, Yongpan Liu, Meng-Fan Chang, Qi Liu 0010 Efficient and Robust Nonvolatile Computing-In-Memory Based on Voltage Division in 2T2R RRAM With Input-Dependent Sensing Control. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Guodong Yin, Yi Cai 0003, Juejian Wu, Zhengyang Duan, Zhenhua Zhu, Yongpan Liu, Yu Wang 0002, Huazhong Yang, Xueqing Li Enabling Lower-Power Charge-Domain Nonvolatile In-Memory Computing With Ferroelectric FETs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hao Zhang, Ke Liu, Mengying Zhao, Zhaoyan Shen, Xiaojun Cai, Zhiping Jia Pearl: Performance-Aware Wear Leveling for Nonvolatile FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Khushboo Rani, Hemangee K. Kapoor Investigating Frequency Scaling, Nonvolatile, and Hybrid Memory Technologies for On-Chip Routers to Support the Era of Dark Silicon. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 600 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license