The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase over-testing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2009 (15) 2011-2021 (3)
Publication types (Num. hits)
article(4) inproceedings(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10 occurrences of 10 keywords

Results
Found 18 publication records. Showing 18 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Carina Andersson, Thomas Thelin, Per Runeson, Nina Dzamashvili An Experimental Evaluation of Inspection and Testing for Detection of Design Faults. Search on Bibsonomy ISESE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Paul A. Cairns HCI... not as it should be: inferential statistics in HCI research. Search on Bibsonomy BCS HCI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF inferential statistics, over-testing, reporting statistics, HCI, research methods, assumptions
15Yung-Chieh Lin, Feng Lu 0002, Kai Yang, Kwang-Ting Cheng Constraint extraction for pseudo-functional scan-based delay testing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Seiji Kajihara, Satoshi Ohtake, Tomokazu Yoneda Delay Testing: Improving Test Quality and Avoiding Over-testing. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Li Chen, Xiaoliang Bai, Sujit Dey Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect, crosstalk, processor, self-test
10Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Pseudo-Functional Scan-based BIST for Delay Fault. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Kazuteru Namba, Hideo Ito Path Delay Fault Test Set for Two-Rail Logic Circuits. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yang Yang 0041, Hongxing Huo, Jingchi Jiang, Xuemei Sun, Yi Guan, Xitong Guo, Xiang Wan, Shengping Liu Clinical decision-making framework against over-testing based on modeling implicit evaluation criteria. Search on Bibsonomy J. Biomed. Informatics The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Tove Faber Frandsen, Jeppe Nicolaisen Praise the bridge that carries you over: Testing the flattery citation hypothesis. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
9Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara A Synthesis Method to Alleviate Over-Testing of Delay Faults Based on RTL Don't Care Path Identification. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yuki Yoshikawa, Satoshi Ohtake, Hideo Fujiwara False Path Identification using RTL Information and Its Application to Over-testing Reduction for Delay Faults. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Jos J. M. Trienekens, Rob J. Kusters Workshop: Defect Detection in Distributed Software Development. Search on Bibsonomy STEP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
3Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara Fast false path identification based on functional unsensitizability using RTL information. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
3Eric D. Ragan, Curtis Wilkes, Doug A. Bowman, Tobias Höllerer Simulation of AugmentedReality Systems in Purely Virtual Environments. Search on Bibsonomy VR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
3Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz Warning: Launch off Shift Tests for Delay Faults May Contribute to Test Escapes. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
3Tsuyoshi Iwagaki, Satoshi Ohtake, Mineo Kaneko, Hideo Fujiwara Efficient path delay test generation based on stuck-at test generation using checker circuitry. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
3Tayfun Elmas, Serdar Tasiran, Shaz Qadeer VYRD: verifYing concurrent programs by runtime refinement-violation detection. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF refinement, runtime verification, concurrent data structures
3Arun Krishnamachary, Jacob A. Abraham Effects of Multi-cycle Sensitization on Delay Tests. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #18 of 18 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license