|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 568 occurrences of 320 keywords
|
|
|
Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
120 | Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt |
Prefetch-Aware DRAM Controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, pp. 200-209, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
109 | Xiaotong Zhuang, Hsien-Hsin S. Lee |
Reducing Cache Pollution via Dynamic Data Prefetch Filtering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(1), pp. 18-31, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Prefetch, microarchitecture, memory subsystems |
109 | Viji Srinivasan, Edward S. Davidson, Gary S. Tyson |
A Prefetch Taxonomy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(2), pp. 126-140, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Prefetch algorithms, cache memory systems |
100 | Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen |
Hardware Support for Prescient Instruction Prefetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 84-95, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
100 | Hui Song, Guohong Cao |
Cache-Miss-Initiated Prefetch in Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: 5th IEEE International Conference on Mobile Data Management (MDM 2004), 19-22 January 2004, Berkeley, CA, USA, pp. 370-, 2004, IEEE Computer Society, 0-7695-2070-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
89 | Jonas Skeppstedt |
Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), San Francisco, CA, USA, October 11-15, 1997, pp. 272-, 1997, IEEE Computer Society, 0-8186-8090-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis |
79 | Javed I. Khan, Qingping Tao |
Webspace Surfing Patterns and Their Impact on Web Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CW ![In: 2nd International Conference on Cyberworlds (CW 2003), 3-5 December 2003, Singapore, pp. 478-485, 2003, IEEE Computer Society, 0-7695-1922-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
User Interaction Behavior, Web Surfing, Prefetch, Web Engineering |
79 | Kyungsu Kang, Jungsoo Kim, Heejun Shim, Chong-Min Kyung |
Software power estimation using IPI(inter-prefetch interval) power model for advanced off-the-shelf processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 594-599, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
IPI power model, black-box power model, prefetch power model, processor power modeling, software power estimation |
79 | Tor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González 0001, Per Hammarlund, Hong Wang 0003, John Paul Shen |
A framework for modeling and optimization of prescient instruction prefetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2003, June 9-14, 2003, San Diego, CA, USA, pp. 13-24, 2003, ACM, 1-58113-664-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
optimization, multithreading, analytical modeling, path expressions, helper threads, instruction prefetch |
78 | Binny S. Gill, Luis Angel D. Bathen |
Optimal multistream sequential prefetching in a shared cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 3(3), pp. 10:1-10:27, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution |
71 | Nicholas Kohout, Seungryul Choi, Dongkeun Kim, Donald Yeung |
Multi-Chain Prefetching: Effective Exploitation of Inter-Chain Memory Parallelism for Pointer-Chasing Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 268-279, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
70 | Shaahin Hessabi, Mehdi Modarressi, Maziar Goudarzi, Hani JavanHemmat |
A Table-Based Application-Specific Prefetch Engine for Object-Oriented Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2006), Samos, Greece, July 17-20, 2006, pp. 7-13, 2006, IEEE, 1-4244-0155-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
70 | Seungryul Choi, Nicholas Kohout, Sumit Pamnani, Dongkeun Kim, Donald Yeung |
A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 22(2), pp. 214-280, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
memory parallelism, pointer-chasing code, Data prefetching |
70 | Wei-Kuo Liao, Chung-Ta King |
Proxy Prefetch and Prefix Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2001 International Conference on Parallel Processing, ICPP 2002, 3-7 September 2001, Valencia, Spain, pp. 95-102, 2001, IEEE Computer Society, 0-7695-1257-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
70 | Steven P. Vanderwiel, David J. Lilja |
A Compiler-Assisted Data Prefetch Controller. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 372-377, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
69 | Weili Li, Lixin Yu |
Efficient line buffer instruction cache scheme with prefetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIS ![In: Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human (ICIS 2009), Seoul, Korea, 24-26 November 2009, pp. 132-135, 2009, ACM, 978-1-60558-710-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
line buffer, cache, prefetch |
69 | Philip A. Bernstein, Shankar Pal, David Shutt |
Context-based prefetch - an optimization for implementing objects on relations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 9(3), pp. 177-189, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Caching, Object-oriented database, Prefetch, Object-relational mapping |
60 | Heung Ki Lee, Baik Song An, Eun Jung Kim 0001 |
Adaptive Prefetching Scheme Using Web Log Mining in Cluster-Based Web Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 903-910, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
60 | Qiong Zou, Xiao-Feng Li, Long-Bing Zhang |
Runtime Engine for Dynamic Profile Guided Stride Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 23(4), pp. 633-643, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
stride prefetching, runtime system, dynamic profiling |
60 | Ali Ibrahim, William R. Cook |
Automatic Prefetching by Traversal Profiling in Object Persistence Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2006 - Object-Oriented Programming, 20th European Conference, Nantes, France, July 3-7, 2006, Proceedings, pp. 50-73, 2006, Springer, 3-540-35726-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
60 | Javed I. Khan, Qingping Tao |
Exploiting Webspace Organization for Accelerating Web Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence ![In: 2003 IEEE / WIC International Conference on Web Intelligence, (WI 2003), 13-17 October 2003, Halifax, Canada, pp. 89-95, 2003, IEEE Computer Society, 0-7695-1932-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
60 | Jonas Skeppstedt, Michel Dubois 0001 |
Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1997 International Conference on Parallel Processing (ICPP '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings, pp. 298-305, 1997, IEEE Computer Society, 0-8186-8108-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems |
60 | Hai Jin 0001, Chao Xie, Kaiqin Fan, Rong Hu, Yunfa Li 0001 |
Single Stream Image and Stream Network Prefetch in Streaming Media Proxy Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 19th International Conference on Advanced Information Networking and Applications (AINA 2005), 28-30 March 2005, Taipei, Taiwan, pp. 743-748, 2005, IEEE Computer Society, 0-7695-2249-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
60 | Liangzhong Yin, Guohong Cao |
Adaptive power-aware prefetch in wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 3(5), pp. 1648-1658, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
60 | Liangzhong Yin, Guohong Cao, Chita R. Das, Ajeesh Ashraf |
Power-Aware Prefetch in Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 22nd International Conference on Distributed Computing Systems (ICDCS'02), Vienna, Austria, July 2-5, 2002, pp. 571-578, 2002, IEEE Computer Society, 0-7695-1585-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
59 | Wook-Shin Han, Woong-Kee Loh, Kyu-Young Whang |
Type-Level Access Pattern View: A Technique for Enhancing Prefetching Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Database Systems for Advanced Applications, 11th International Conference, DASFAA 2006, Singapore, April 12-15, 2006, Proceedings, pp. 389-403, 2006, Springer, 3-540-33337-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
navigational application, prefetch method, type-level path access log, type-level access pattern view |
58 | Yasuo Ishii, Mary Inaba, Kei Hiraki |
Access map pattern matching for data cache prefetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 499-500, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cache memory, data prefetch |
58 | Robin Garner, Stephen M. Blackburn, Daniel Frampton |
Effective prefetch for mark-sweep garbage collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 6th International Symposium on Memory Management, ISMM 2007, Montreal, Quebec, Canada, October 21-22, 2007, pp. 43-54, 2007, ACM, 978-1-59593-893-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java, software prefetch, mark-sweep |
58 | Thomas Alexander, Gershon Kedem |
Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 254-263, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Prefetch-buffer, cache, prediction, memory |
50 | Weifeng Zhang 0003, Brad Calder, Dean M. Tullsen |
A Self-Repairing Prefetcher in an Event-Driven Dynamic Optimization Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 50-64, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
50 | José R. Brunheroto, Valentina Salapura, Fernando F. Redígolo, Dirk Hoenicke, Alan Gara |
Data cache prefetching design space exploration for BlueGene/L supercomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 24-27 October 2005, Rio de Janeiro, Brazil, pp. 201-208, 2005, IEEE Computer Society, 0-7695-2446-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | David M. Koppelman |
Neighborhood Prefetching on Multiprocessors Using Instruction History. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 123-132, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
50 | Junghoon Lee, Gyung-Leen Park, Sang-Wook Kim, Hye-Jin Kim 0004, Sung Y. Shin |
A hybrid prefetch policy for the retrieval of link-associated information on vehicular networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 189-193, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
gateway cache, hybrid data fetch, route information retrieval, vehicular telematics network, response time |
50 | Ozcan Ozturk 0001, Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy |
Prefetch throttling and data pinning for improving performance of shared caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2008, November 15-21, 2008, Austin, Texas, USA, pp. 59, 2008, IEEE/ACM, 978-1-4244-2835-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney |
Prefetch inection based on hardware monitoring and object metadata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2004 Conference on Programming Language Design and Implementation 2004, Washington, DC, USA, June 9-11, 2004, pp. 267-276, 2004, ACM, 1-58113-807-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization |
50 | Junpei Niwa |
Prefetch Mechanism in Compiler-Assisted S-DSM System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 33rd International Conference on Parallel Processing Workshops (ICPP 2004 Workshops), 15-18 August 2004, Montreal, Quebec, Canada, pp. 520-529, 2004, IEEE Computer Society, 0-7695-2198-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Zhi Zheng 0007, Tony K. Y. Chan |
Optimized Neighbour Prefetch and Cache for Client-server Based Walkthrough. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CW ![In: 2nd International Conference on Cyberworlds (CW 2003), 3-5 December 2003, Singapore, pp. 143-150, 2003, IEEE Computer Society, 0-7695-1922-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
49 | Chia-Lin Yang, Alvin R. Lebeck, Hung-Wei Tseng 0001, Chien-Hao Lee |
Tolerating memory latency through push prefetching for pointer-intensive applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(4), pp. 445-475, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
linked data structures, pointer-chasing, Prefetch, memory hierarchy |
48 | Tor M. Aamodt, Paul Chow |
Optimization of data prefetch helper threads with path-expression based statistical modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 21th Annual International Conference on Supercomputing, ICS 2007, Seattle, Washington, USA, June 17-21, 2007, pp. 210-221, 2007, ACM, 978-1-59593-768-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
optimization, multithreading, analytical modeling, data prefetch, path expressions, helper threads |
48 | Rajeev Balasubramonian |
Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS 2004, Saint Malo, France, June 26 - July 01, 2004, pp. 326-335, 2004, ACM, 1-58113-839-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures |
48 | Ken W. Batcher, Robert A. Walker 0001 |
Cluster miss prediction with prefetch on miss for embedded CPU instruction caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2004, Washington DC, USA, September 22 - 25, 2004, pp. 24-34, 2004, ACM, 1-58113-890-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch |
40 | Valentina Salapura, José R. Brunheroto, Fernando F. Redígolo, Alan Gara |
Exploiting eDRAM bandwidth with data prefetching: simulation and measurements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 504-511, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Spiros Kalogeropulos, Mahadevan Rajagopalan, Vikram Rao, Yonghong Song, Partha Tirumalai |
Processor Aware Anticipatory Prefetching in Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 106-117, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Dan Wallin, Erik Hagersten |
Bundling: Reducing the Overhead of Multiprocessor Prefetchers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Shih-Chang Lai, Shih-Lien Lu |
Hardware-based Pointer Data Prefetcher. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 290-298, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Youtao Zhang, Rajiv Gupta 0001 |
Enabling Partial Cache Line Prefetching Through Data Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 32nd International Conference on Parallel Processing (ICPP 2003), 6-9 October 2003, Kaohsiung, Taiwan, pp. 277-285, 2003, IEEE Computer Society, 0-7695-2017-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery |
Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Berlin, Germany, June 17-19, 2002, pp. 117-128, 2002, ACM, 1-58113-463-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization |
40 | Sathiamoorthy Manoharan, Chaitanya Reddy Yavasani |
Experiments with Sequential Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCN ![In: High-Performance Computing and Networking, 9th International Conference, HPCN Europe 2001, Amsterdam, The Netherlands, June 25-27, 2001, Proceedings, pp. 322-331, 2001, Springer, 3-540-42293-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
40 | An-Chow Lai, Cem Fide, Babak Falsafi |
Dead-block prediction & dead-block correlating prefetchers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 28th Annual International Symposium on Computer Architecture, ISCA 2001, Göteborg, Sweden, June 30-July 4, 2001, pp. 144-154, 2001, ACM, 0-7695-1162-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Magnus Karlsson 0002, Fredrik Dahlgren, Per Stenström |
A Prefetching Technique for Irregular Accesses to Linked Data Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 206-217, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
40 | Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh |
Performance modelling of speculative prefetching for compound requests in low bandwidth networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOWMOM ![In: Proceedings of the Third ACM International Workshop on Wireless Mobile Multimedia, WOWMOM 2000, Boston, Massachusetts, USA, August 11, 2000, pp. 83-92, 2000, ACM, 1-58113-302-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
compound requests, speculative prefetching, caching, Web |
40 | Junichi Funasaka, Keizo Saisho, Akira Fukuda |
Automatic Selecting of Required NetNews Articles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 6th Asia-Pacific Software Engineering Conference (APSEC '99), 7-10 December 1999, Takamatsu, Japan, pp. 88-, 1999, IEEE Computer Society, 0-7695-0509-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
NetNews, Cache system, Automatic selection, Simulation, Caching algorithm |
40 | Chi-Hung Chi, Jun-Li Yuan |
Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 5th International Conference, PaCT-99, St. Petersburg, Russia, September 6-10, 1999, Proceedings, pp. 28-41, 1999, Springer, 3-540-66363-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
40 | Jim Pierce, Trevor N. Mudge |
Wrong-path Instruction Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 165-175, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
40 | Shih-wei Liao, Tzu-Han Hung, Donald Nguyen, Hucheng Zhou, Chinyen Chou, Chia-Heng Tu |
Prefetch optimizations on large-scale applications via parameter value prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 519-520, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data center applications, machine learning |
40 | Hsiao-Hsi Wang, Kuan-Ching Li, Kuo-Jen Wang, Ssu-Hsuan Lu |
On the Design and Implementation of an Effective Prefetch Strategy for DSM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 37(1), pp. 91-112, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
prefetching strategy, home-based, page hit, shared address space, DSM systems |
40 | Fredy Rivera, Marcos Sánchez-Élez, Milagros Fernández, Román Hermida, Nader Bagherzadeh |
Low Power Data Prefetch for 3D Image Applications on Coarse-Grain Reconfigurable Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Lei Shi 0001, Bing Song, Xiaoguang Ding, Zhimin Gu, Lin Wei |
Web Prefetching Control Model Based on Prefetch-Cache Interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SKG ![In: 2005 International Conference on Semantics, Knowledge and Grid (SKG 2005), 27-29 November 2005, Beijing, China, pp. 30, 2005, IEEE Computer Society, 0-7695-2534-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Soong Hyun Shin, Cheol Hong Kim, Chu Shik Jhon |
An Effective Instruction Cache Prefetch Policy by Exploiting Cache History Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing - EUC 2005, International Conference EUC 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings, pp. 57-66, 2005, Springer, 3-540-30807-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Computer architecture, embedded processor, instruction cache, cache prefetching |
40 | Maria Hong, Dongyeop Ryu, Jae-Chul Sir, Eun-Young Kim, Younghwan Lim |
Using a Transcode and Prefetch Method for Playing XML Contents Containing Multiple Multimedia Data on Mobile Terminals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT Workshops ![In: Current Trends in Database Technology - EDBT 2004 Workshops, EDBT 2004 Workshops PhD, DataX, PIM, P2P&DB, and ClustWeb, Heraklion, Crete, Greece, March 14-18, 2004, Revised Selected Papers, pp. 309-317, 2004, Springer, 3-540-23305-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Yasuhiko Okura, Yasushi Matsumura, Hajime Harauchi, Yoshiharu Sukenobu, Hiroko Kou, Syunsuke Kohyama, Norihiro Yasuda, Yuichiro Yamamoto, Kiyonari Inamura |
An Inductive Method for Automatic Generation of Referring Physician Prefetch Rules for PACS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Imaging ![In: J. Digit. Imaging 15(4), pp. 226-231, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Glenn Reinman, Brad Calder, Todd M. Austin |
High Performance and Energy Efficient Serial Prefetch Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 4th International Symposium, ISHPC 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings, pp. 146-159, 2002, Springer, 3-540-43674-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Olivier Temam |
Streaming Prefetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par, Vol. II ![In: Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume II, pp. 765-772, 1996, Springer, 3-540-61627-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
40 | Teruyuki Hasegawa, Toru Hasegawa, Toshihiko Kato, Kenji Suzuki 0003 |
A Video Retrieval Protocol with Video Data Prefetch and Packet Retransmission Considering Play-out Dead Line. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: 1996 International Conference on Network Protocols, ICNP 1996, October 29 - November 1, 1996, Columbus, Ohio, USA, pp. 32-39, 1996, IEEE Computer Society, 0-8186-7453-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
39 | Aswinkumar Sridharan, Biswabandan Panda, André Seznec |
Band-Pass Prefetching: An Effective Prefetch Management Mechanism Using Prefetch-Fraction Metric in Multi-Core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 14(2), pp. 19:1-19:27, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
39 | Hui Kang, Jennifer L. Wong |
To hardware prefetch or not to prefetch?: a virtualized environment study and core binding approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Architectural Support for Programming Languages and Operating Systems, ASPLOS 2013, Houston, TX, USA, March 16-20, 2013, pp. 357-368, 2013, ACM, 978-1-4503-1870-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
39 | Dongkeun Kim, Donald Yeung |
A study of source-level compiler algorithms for automatic construction of pre-execution code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 22(3), pp. 326-379, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism |
39 | Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada |
A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 279-280, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch |
39 | Robert Yung |
Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 178-190, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor |
38 | Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé |
Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 21(4), pp. 494-505, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
prefetch code generation, Multicore processor, local memories, software cache |
38 | Juan Fang, Hongbo Zhang |
Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCST ![In: Fifth International Conference on Frontier of Computer Science and Technology, FCST 2010, Changchun, Jilin Province, China, August 18-22, 2010, pp. 387-391, 2010, IEEE Computer Society, 978-0-7695-4139-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture |
38 | Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, Víctor Viñals |
Combining Prefetch with Instruction Cache Locking in Multitasking Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 16th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2010, Macau, SAR, China, 23-25 August 2010, pp. 319-328, 2010, IEEE Computer Society, 978-1-4244-8480-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
prefetch, WCET, instruction cache |
38 | Bin Chen, Nong Xiao, Zhiping Cai, Ji Wang |
DPM: A Demand-driven Virtual Disk Prefetch Mechanism for Mobile Personal Computing Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: NPC 2009, Sixth IFIP International Conference on Network and Parallel Computing, Gold Coast, Australia, October 19-21, 2009, pp. 59-66, 2009, IEEE Computer Society, 978-0-7695-3837-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
virtual disk, personal computing environment, peer-to-peer, virtual machine, prefetch |
38 | Ying Chen, Resit Sendag, David J. Lilja |
Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 76, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
superthreaded architecture, wrong-thread executioin, wrong-path execution, wrong execution cache (WEC), data prefetch, multithreaded processor |
38 | Martin De Prycker |
Representing the Effect of Instruction Prefetch in a Microprocessor Performance Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 32(9), pp. 868-872, 1983. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
Best/worst case, instruction prefetch pipeline, memory speed, performance analysis, clock cycles |
37 | Chen-Yong Cher, Antony L. Hosking, T. N. Vijaykumar |
Software prefetching for mark-sweep garbage collection: hardware analysis and software redesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 199-210, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
buffered prefetch, prefetch-on-grey, garbage collection, prefetching, cache architecture, breadth-first, mark-sweep, depth-first |
30 | Eiman Ebrahimi, Onur Mutlu, Yale N. Patt |
Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 7-17, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
30 | Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti |
A compiler-directed data prefetching scheme for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2009, Raleigh, NC, USA, February 14-18, 2009, pp. 209-218, 2009, ACM, 978-1-60558-397-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
compiler, chip multiprocessors, prefetching, helper thread |
30 | Shih-Hao Hung, Chien-Cheng Wu, Chia-Heng Tu |
Optimizing the Embedded Caching and Prefetching Software on a Network-Attached Storage System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC (1) ![In: 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), Shanghai, China, December 17-20, 2008, Volume I, pp. 152-161, 2008, IEEE Computer Society, 978-0-7695-3492-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Xiaotong Zhuang, Santosh Pande |
Power-efficient prefetching for embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 6(1), pp. 3, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
bit-differential addressing, offset assignment, embedded processors, Data prefetching |
30 | Jean Christophe Beyler, Philippe Clauss |
Performance driven data cache prefetching in a dynamic software optimization system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 21th Annual International Conference on Supercomputing, ICS 2007, Seattle, Washington, USA, June 17-21, 2007, pp. 202-209, 2007, ACM, 978-1-59593-768-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
binary instrumentation, data cache prefetching, dynamic optimization |
30 | Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero |
Kilo-instruction processors, runahead and prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 269-278, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors |
30 | Kyle J. Nesbit, James E. Smith 0001 |
Data Cache Prefetching Using a Global History Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(1), pp. 90-97, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | K. Y. Leung, Eric W. M. Wong, Kai-Hau Yeung |
Designing Efficient and Robust Caching Algorithms for Streaming-on-Demand Services on the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web 7(3), pp. 297-314, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
streaming-on-demand services, time-varying behavior, prefetching, content delivery networks, caching algorithms |
30 | Yi Zhang, Steve Haga, Rajeev Barua |
Execution History Guided Instruction Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 27(2), pp. 129-147, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
hardware prefetching, instruction cache, memory latency, instruction prefetching |
30 | Xiaotong Zhuang, Santosh Pande |
Power-efficient prefetching via bit-differential offset assignment on embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'04), Washington, DC, USA, June 11-13, 2004, pp. 67-77, 2004, ACM, 1-58113-806-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
bit-differential addressing, offset assignment, embedded processors, data prefetching |
30 | K. Y. Leung, Eric W. M. Wong, Kai-Hau Yeung |
Design of Distributed Video Cache System on the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 23rd International Conference on Distributed Computing Systems Workshops (ICDCS 2003 Workshops), 19-22 May 2003, Providence, RI, USA, pp. 948-953, 2003, IEEE Computer Society, 0-7695-1921-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
30 | Junehwa Song, Asit Dan, Dinkar Sitaram |
JINSIL: A middleware for presentation of composite multimedia objects in a distributed environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 8(4), pp. 295-314, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Trishul M. Chilimbi, Martin Hirzel |
Dynamic Hot Data Stream Prefetching for General-Purpose Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Berlin, Germany, June 17-19, 2002, pp. 199-209, 2002, ACM, 1-58113-463-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
data reference profiling, memory performance optimization, temporal profiling, prefetching, dynamic optimization, dynamic profiling |
30 | Yi Zhang, Steve Haga, Rajeev Barua |
Execution history guided instruction prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 199-208, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
performance, prefetching, hardware, instruction cache |
30 | Robert Cooksey, Stéphan Jourdan, Dirk Grunwald |
A stateless, content-directed data prefetching mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002., pp. 279-290, 2002, ACM Press, 1-58113-574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Chi-Keung Luk, Todd C. Mowry |
Architectural and compiler support for effective instruction prefetching: a cooperative approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 19(1), pp. 71-109, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
compiler optimization, instruction prefetching |
30 | Hock-Beng Lim, Pen-Chung Yew |
Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000, pp. 331-340, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design |
30 | Chi-Hung Chi, Jun-Li Yuan |
Design Considerations of High Performance Data Cache with Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 1243-1250, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
30 | Hock-Beng Lim, Pen-Chung Yew |
An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings, pp. 51-67, 1998, Springer, 3-540-66426-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching |
30 | Gregory T. Byrd, Michael J. Flynn |
Evaluation of Communication Mechanisms in Invalidate-Based Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCRCW ![In: Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings, pp. 159-170, 1997, Springer, 3-540-64571-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
30 | Junehwa Song, Asit Dan, Dinkar Sitaram |
Efficient Retrieval of Composite Multimedia Objects in the JINSIL Distributed System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1997 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Seattle, Washington, USA, June 15-18, 1997, pp. 260-271, 1997, ACM, 0-89791-909-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
30 | Shlomit S. Pinter, Adi Yoaz |
Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 214-225, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time |
30 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(1), pp. 57-88, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
30 | Vijay Karamcheti, Andrew A. Chien |
A Comparison of Architectural Support for Messaging in the TMC CM-5 and the Cray T3D. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 298-307, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 575 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ >>] |
|