The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for runahead with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003-2006 (20) 2007-2014 (15) 2015-2022 (16) 2023 (3)
Publication types (Num. hits)
article(15) inproceedings(38) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 38 occurrences of 23 keywords

Results
Found 54 publication records. Showing 54 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
254Onur Mutlu, Hyesoon Kim, Yale N. Patt Techniques for Efficient Processing in Runahead Execution Engines. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
235Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
147Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Kilo-instruction processors, runahead and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors
122Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
103Onur Mutlu, Hyesoon Kim, Jared Stark, Yale N. Patt On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
101Onur Mutlu, Hyesoon Kim, Yale N. Patt Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF value prediction, memory-level parallelism, runahead execution, Single data stream architectures
90Polychronis Xekalakis, Nikolas Ioannou, Marcelo Cintra Combining thread level speculation helper threads and runahead execution. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-cores, thread-level speculation, helper threads, runahead execution
90Onur Mutlu, Hyesoon Kim, Yale N. Patt Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory latency tolerance, processors, Runahead execution
90Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor performance modeling, speculative execution, runahead execution, Single data stream architectures
84Martin Karlsson, Erik Hagersten Conserving Memory Bandwidth in Chip Multiprocessors with Runahead Execution. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
84Onur Mutlu, Hyesoon Kim, Yale N. Patt Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Yuan Chou, Brian Fahs, Santosh G. Abraham Microarchitecture Optimizations for Exploiting Memory-Level Parallelism. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Milad Hashemi, Yale N. Patt Filtered runahead execution with a runahead buffer. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
46Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads to improve SMT performance. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads: Reducing Resource Contention in SMT Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt Runahead Execution: An Effective Alternative to Large Instruction Windows. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Peng Zhou, Soner Önder Improving single-thread performance with fine-grain state maintenance. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF processor state, runahead, simultaneous multi-threading, checkpoint, recovery
44Ilya Ganusov, Martin Burtscher On the importance of optimizing the configuration of stream prefetchers. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware prefetching, stream prefetcher, runahead execution
38Luis Ceze, Karin Strauss, James Tuck 0001, Josep Torrellas, Jose Renau CAVA: Using checkpoint-assisted value prediction to hide L2 misses. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF checkpointed processor architectures, multiprocessor, memory hierarchies, Value prediction
27Chaoqun Shen, Gang Qu 0001, Jiliang Zhang 0002 SPECRUN: The Danger of Speculative Runahead Execution in Processors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
27Ajeya Naithani, Jaime Roelandts, Sam Ainsworth 0001, Timothy M. Jones 0001, Lieven Eeckhout Decoupled Vector Runahead. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
27Mohammad Bakhshalipour, Mohamad Qadri, Dominic Guri, Seyed Borna Ehsani, Maxim Likhachev, Phillip B. Gibbons Runahead A*: Speculative Parallelism for A* with Slow Expansions. Search on Bibsonomy ICAPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
27Ajeya Naithani, Sam Ainsworth 0001, Timothy M. Jones 0001, Lieven Eeckhout Vector Runahead for Indirect Memory Accesses. Search on Bibsonomy IEEE Micro The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
27Ajeya Naithani, Lieven Eeckhout Reliability-Aware Runahead. Search on Bibsonomy HPCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
27Stephen Pruett, Yale N. Patt Branch Runahead: An Alternative to Branch Prediction for Impossible to Predict Branches. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Hikaru Takayashiki, Masayuki Sato 0001, Kazuhiko Komatsu, Hiroaki Kobayashi Register Flush-free Runahead Execution for Modern Vector Processors. Search on Bibsonomy SBAC-PAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Ajeya Naithani, Sam Ainsworth 0001, Timothy M. Jones 0001, Lieven Eeckhout Vector Runahead. Search on Bibsonomy ISCA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Fatemeh Golshan, Mohammad Bakhshalipour, Mehran Shakerinava, Ali Ansari 0001, Pejman Lotfi-Kamran, Hamid Sarbazi-Azad Harnessing Pairwise-Correlating Data Prefetching With Runahead Metadata. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
27Susumu Mashimo, Ryota Shioya, Koji Inoue Energy Efficient Runahead Execution on a Tightly Coupled Heterogeneous Core. Search on Bibsonomy HPC Asia The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
27Ajeya Naithani, Josué Feliu, Almutaz Adileh, Lieven Eeckhout Precise Runahead Execution. Search on Bibsonomy HPCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
27Danilo Gallo, Shreepriya Shreepriya, Jutta Willamowski RunAhead: Exploring Head Scanning based Navigation for Runners. Search on Bibsonomy CHI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
27Danilo Gallo, Shreepriya Shreepriya, Jutta Willamowski RunAhead: Providing Head Scanning based Navigation feedback. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
27Ajeya Naithani, Josué Feliu, Almutaz Adileh, Lieven Eeckhout Precise Runahead Execution. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Shane T. Fleming, David B. Thomas Using Runahead Execution to Hide Memory Latency in High Level Synthesis. Search on Bibsonomy FCCM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Milad Hashemi, Onur Mutlu, Yale N. Patt Continuous runahead: Transparent hardware acceleration for memory intensive workloads. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Zimo Li, Joshua San Miguel, Natalie D. Enright Jerger The runahead network-on-chip. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Xi Tao, Qi Zeng 0006, Jih-Kwon Peir, Shih-Lien Lu Runahead Cache Misses Using Bloom Filter. Search on Bibsonomy PDCAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Sonya R. Wolff, Ronald D. Barnes Revisiting Using the Results of Pre-Executed Instructions in Runahead Processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Kaveh Aasaraai, Andreas Moshovos NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Kaveh Aasaraai, Andreas Moshovos SPREX: A soft processor with Runahead execution. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Onur Mutlu, Mateo Valero Efficient runahead threads. Search on Bibsonomy PACT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Harold W. Cain, Priya Nagpurkar Runahead execution vs. conventional data prefetching in the IBM POWER6 microprocessor. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Tanausú Ramírez Runahead threads. Search on Bibsonomy 2010   RDF
27Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Code Semantic-Aware Runahead Threads. Search on Bibsonomy ICPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Walter Yuan-Hwa Li, Chin-Ling Huang, Chung-Ping Chung Tolerating Load Miss-Latency by Extending Effective Instruction Window with Low Complexity. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Execute Ahead, Hardware Speculation, Instruction-Level Parallelism, Processor Architecture, Memory-Level Parallelism, Runahead Execution
25Ronald D. Barnes, John W. Sias, Erik M. Nystrom, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating In-Order Stalls with "Flea-Flicker" Two-Pass Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache-miss tolerance, prefetching, out-of-order execution, Runahead execution
25Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache filtering, speculative memory references, Caches, runahead execution, cache pollution
19Weifeng Zhang 0003, Dean M. Tullsen, Brad Calder Accelerating and Adapting Precomputation Threads for Effcient Prefetching. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ilya Ganusov, Martin Burtscher Future execution: A prefetching mechanism that uses multiple cores to speed up single threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Future execution, chip multiprocessors, prefetching, memory wall
19Zhen Yang, Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir Overlapping dependent loads with addressless preload. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction and issue window, pointer-chasing loads, data prefetching, memory-level parallelism
19Ilya Ganusov, Martin Burtscher Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Matthew Curtis-Maury, Tanping Wang Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications. Search on Bibsonomy QEST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ronald D. Barnes, Shane Ryoo, Wen-mei W. Hwu "Flea-flicker" Multipass Pipelining: An Alternative to the High-Power Out-of-Order Offense. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Sorin Iacobovici, Lawrence Spracklen, Sudarshan Kadambi, Yuan Chou, Santosh G. Abraham Effective stream-based and execution-based data prefetching. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetcher, multiple strides, stream prefetching
Displaying result #1 - #54 of 54 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license