|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 490 occurrences of 349 keywords
|
|
|
Results
Found 487 publication records. Showing 487 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
140 | Anne Bracy, Amir Roth |
Serialization-Aware Mini-Graphs: Performance with Fewer Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 171-184, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
86 | Sara Bouchenak, Daniel Hagimont, Noel De Palma |
Efficient Java thread serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 2nd International Symposium on Principles and Practice of Programming in Java, PPPJ 2003, Kilkenny City, Ireland, June 16-18, 2003, pp. 35-39, 2003, ACM, 0-9544145-1-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dynamic de-optimization, persistence K2checkpoint/restart, performance, mobility, JVM, threads, type inference |
86 | Fabian Breg, Constantine D. Polychronopoulos |
Java virtual machine support for object serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Java Grande ![In: Proceedings of the ACM 2001 Java Grande Conference, Stanford University, California, USA, June 2-4, 2001, pp. 173-180, 2001, ACM, 1-58113-359-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Java |
83 | Matthew D. Allen, Srinath Sridharan, Gurindar S. Sohi |
Serialization sets: a dynamic dependence-based parallel execution model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2009, Raleigh, NC, USA, February 14-18, 2009, pp. 85-96, 2009, ACM, 978-1-60558-397-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
serialization sets, parallel computing, runtime system, serializer |
83 | Nayef Abu-Ghazaleh, Michael J. Lewis, Madhusudhan Govindaraju |
Differential Serialization for Optimized SOAP Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: 13th International Symposium on High-Performance Distributed Computing (HPDC-13 2004), 4-6 June 2004, Honolulu, Hawaii, USA, pp. 55-64, 2004, IEEE Computer Society, 0-7695-2175-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Serialization Optimization, Web Services, Scientific Computing, High Performance, SOAP |
83 | Marjan Hericko, Matjaz B. Juric, Ivan Rozman, Simon Beloglavec, Ales Zivkovic |
Object serialization analysis and comparison in Java and .NET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 38(8), pp. 44-54, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Java, XML, NET, Serialization, Binary |
80 | Kwok-Wa Lam, Kam-yiu Lam, Sheung-lun Hung |
Real-time optimistic concurrency control protocol with dynamic adjustment of serialization order. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 1st IEEE Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995, pp. 174-179, 1995, IEEE Computer Society, 0-8186-6980-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-time optimistic concurrency control protocol, serialization order dynamic adjustment, nonserious conflicting transactions, backward-adjustment, committing transactions, transaction restarts elimination, conflict constraints, serialization constraints, read phase, priority conflict resolution methods, Thomas's write rule, real-time systems, concurrency control, transaction processing, database theory, access protocols |
75 | Hei Ning Helen Ma, Lan Yang |
Improvement of Object Serialization in Java Remote Method Invocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: Seventh International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing (SNPD 2006), 19-20 June 2006, Las Vegas, Nevada, USA, pp. 35-42, 2006, IEEE Computer Society, 0-7695-2611-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
75 | Thanasis Hadzilacos |
Serialization Graph Algorithms for Multiversion Concurrency Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Seventh ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems, March 21-23, 1988, Austin, Texas, USA, pp. 135-141, 1988, ACM, 0-89791-263-2. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
74 | Robbie De Sutter, Sam Lerouge, Peter De Neve, Christian Timmerer, Hermann Hellwagner, Rik Van de Walle |
Comparison of XML serializations: cost benefits versus complexity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 12(2), pp. 101-115, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
XML serialization formats, MPEG-B, Information encoding, Data interchange formats, Multimedia applications, ASN.1 |
71 | Yuri Breitbart, Dimitrios Georgakopoulos 0001, Marek Rusinkiewicz, Abraham Silberschatz |
On Rigorous Transaction Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 17(9), pp. 954-960, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
rigorous transaction scheduling, transaction scheduling mechanisms, transaction serialization order, commitment order, multidatabase system environment, analogous execution, serialization orders, rigorous schedulers, hierarchical transaction management mechanisms, global serializability, scheduling, distributed databases, concurrency control, transaction processing, database theory |
64 | Jan Lindström, Kimmo E. E. Raatikainen |
Dynamic Adjustment of Serialization Order Using Timestamp Intervals in Real-Time Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 13-16 December 1999, Hong Kong, China, pp. 13-20, 1999, IEEE Computer Society, 0-7695-0306-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
61 | Xinyuan Wang |
The loop fallacy and serialization in tracing intrusion connections through stepping stones. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004, pp. 404-411, 2004, ACM, 1-58113-812-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
intrusion tracing, correlation, serialization, stepping stones |
53 | Daniel Tejera, Alejandro Alonso 0001, Miguel A. de Miguel |
Predictable Serialization in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Tenth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2007), 7-9 May 2007, Santorini Island, Greece, pp. 102-109, 2007, IEEE Computer Society, 0-7695-2765-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Khuzaima Daudjee, Kenneth Salem |
Inferring a Serialization Order for Distributed Transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 22nd International Conference on Data Engineering, ICDE 2006, 3-8 April 2006, Atlanta, GA, USA, pp. 154, 2006, IEEE Computer Society, 0-7695-2570-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
53 | Danny Weyns, Eddy Truyen, Pierre Verbaeten |
Serialization of Distributed Execution-State in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NetObjectDays ![In: Objects, Components, Architectures, Services, and Applications for a Networked World, International Conference NetObjectDays, NODe 2002, Erfurt, Germany, October 7-10, 2002, Revised Papers, pp. 41-61, 2002, Springer, 3-540-00737-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
53 | Kenji Kono, Takashi Masuda |
Efficient RMI: Dynamic Specialization of Object Serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 20th International Conference on Distributed Computing Systems, Taipei, Taiwan, April 10-13, 2000, pp. 308-315, 2000, IEEE Computer Society, 0-7695-0601-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
52 | Jaakko Kangasharju, Sasu Tarkoma, Tancred Lindholm |
Xebu: A Binary Format with Schema-Based Optimizations for XML Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISE ![In: Web Information Systems Engineering - WISE 2005, 6th International Conference on Web Information Systems Engineering, New York, NY, USA, November 20-22, 2005, Proceedings, pp. 528-535, 2005, Springer, 3-540-30017-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
XML and Semi-structured Data, XML Serialization Format, Binary XML, Web Services, Mobile Environment |
50 | Marc-Olivier Killijian, Juan-Carlos Ruiz-Garcia, Jean-Charles Fabre |
Portable serialization of CORBA objects: a reflective approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Object-Oriented Programming Systems, Languages and Applications, OOPSLA 2002, Seattle, Washington, USA, November 4-8, 2002., pp. 68-82, 2002, ACM, 1-58113-471-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
open compilers, CORBA, reflection, portability, serialization |
44 | Sudeep Pasricha |
Exploring serial vertical interconnects for 3D ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 581-586, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
serial interconnect, VLSI, networks on chip, 3D ICs |
44 | Kun Zhang 0006, Santosh Pande |
Minimizing downtime in seamless migrations of mobile applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006, pp. 12-21, 2006, ACM, 1-59593-362-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
seamless migration, mobile computing, compiler |
42 | Toshiro Takase, Keishi Tajima |
Lazy XML Parsing/Serialization Based on Literal and DOM Hybrid Representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2008 IEEE International Conference on Web Services (ICWS 2008), September 23-26, 2008, Beijing, China, pp. 295-303, 2008, IEEE Computer Society, 978-0-7695-3310-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Tharaka Devadithya, Kenneth Chiu |
Poster reception - Fast binary serialization for grid systems with XBS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 147, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
41 | Romain Pellerin |
The MooDS protocol: a J2ME object-oriented communication protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 4th International Conference on Mobile Technology, Applications, and Systems and the 1st International Symposium on Computer Human Interaction in Mobile Technology, Mobility Conference 2007, Singapore, September 10-12, 2007, pp. 8-15, 2007, ACM, 978-1-59593-819-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
GASP, J2ME communication protocol, J2ME mobile phone, OMA, object-oriented, communication protocol, multiplayer games, serialization, MooDS |
41 | Victor C. S. Lee, Kwok-Wa Lam, Sheung-lun Hung |
Concurrency Control for Mixed Transactions in Real-Time Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(7), pp. 821-834, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
serialization order adjustment, mixed transactions, Real-time databases, optimistic concurrency control |
39 | Jaakko Kangasharju, Oskari Koskimies |
Binary Serialization for Mobile XForms Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEIS ![In: Enterprise Information Systems, 10th International Conference, ICEIS 2008, Barcelona, Spain, June 12-16, 2008, Revised Selected Papers, pp. 241-252, 2008, Springer, 978-3-642-00669-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Mobile XForms services, binary XML serialization |
39 | Soumaya Marzouk, Maher Ben Jemaa, Mohamed Jmaiel |
A serialization based approach for strong mobility of shared object. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 5th International Symposium on Principles and Practice of Programming in Java, PPPJ 2007, Lisboa, Portugal, September 5-7, 2007, pp. 237-242, 2007, ACM, 978-1-59593-672-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java thread, shared object migration, strong mobility, distributed applications, Java-RMI, serialization, source code transformation |
39 | Karol Banczyk, Tomasz Boinski, Henryk Krawczyk |
Object Serialization and Remote Exception Pattern for Distributed C++/MPI Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 9th International Conference, PaCT 2007, Pereslavl-Zalessky, Russia, September 3-7, 2007, Proceedings, pp. 188-193, 2007, Springer, 978-3-540-73939-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
object serialization, remote exception handling, MPI |
39 | Brajendra Panda |
An alternative approach to serialization of multilevel secure Transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 1997 ACM symposium on Applied Computing, SAC'97, San Jose, CA, USA, February 28 - March 1, pp. 134-135, 1997, ACM, 0-89791-850-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
data and user classifications, serialization graphs, concurrency control, multilevel security |
39 | Subhash C. Agrawal, Jeffrey P. Buzen |
The Aggregate Server Method for Analyzing Serialization Delays in Computer System ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 1(2), pp. 116-143, 1983. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
aggregate server method, serialization delays, approximation, metamodeling, queueing networks, critical sections, product form |
33 | Michal Wegiel, Chandra Krintz |
XMem: type-safe, transparent, shared memory for cross-runtime communication and coordination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, Tucson, AZ, USA, June 7-13, 2008, pp. 327-338, 2008, ACM, 978-1-59593-860-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
class loading, managed runtimes, type-safe, parallel, synchronization, garbage collection, shared memory, transparent, interprocess communication |
33 | Jeroen Bekaert, Xiaoming Liu 0005, Herbert Van de Sompel, Carl Lagoze, Sandy Payette, Simeon Warner |
Pathways core: a data model for cross-repository services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: ACM/IEEE Joint Conference on Digital Libraries, JCDL 2006, Chapel Hill, NC, USA, June 11-15, 2006, Proceedings, pp. 368, 2006, ACM, 1-59593-354-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
interoperability, data model, scholarly communication |
33 | Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho, Hoi-Jun Yoo |
A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 79-80, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
33 | Robert van Engelen, Madhusudhan Govindaraju, Wei Zhang 0020 |
Exploring Remote Object Coherence in XMLWeb Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2006 IEEE International Conference on Web Services (ICWS 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 249-256, 2006, IEEE Computer Society, 0-7695-2669-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
33 | Alan D. Fekete, Dimitrios Liarokapis, Elizabeth J. O'Neil, Patrick E. O'Neil, Dennis E. Shasha |
Making snapshot isolation serializable. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 30(2), pp. 492-528, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
multiversion concurrency, weak isolation, Concurrency control, consistency, serializability, anomaly, snapshot isolation |
33 | Emile Hsieh, Vladimir Pentkovski, Thomas Piazza |
ZR: a 3D API transparent technology for chunk rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 284-291, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Ravi Rajwar, James R. Goodman |
Speculative lock elision: enabling highly concurrent multithreaded execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 294-305, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Lianzi Wen, Toshiyuki Amagasa, Hiroyuki Kitagawa |
An Approach for XML Similarity Join Using Tree Serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Database Systems for Advanced Applications, 13th International Conference, DASFAA 2008, New Delhi, India, March 19-21, 2008. Proceedings, pp. 562-570, 2008, Springer, 978-3-540-78567-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Leon Evers, Maria Eva Lijding, Jan Kuper |
Generic multi--packet communication through object serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MidSens ![In: Proceedings of the Third International Workshop on Middleware for Sensor Networks, MidSens 2008, December 1, 2008, Leuven, Belgium, Co-located with Middleware 2008, pp. 25-30, 2008, ACM, 978-1-60558-366-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
transmission protocol, wireless sensor networks, memory management, reliable communication |
31 | Sailesh Kumar, John Maschmeyer, Patrick Crowley |
Exploiting locality to ameliorate packet queue contention and serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 279-290, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
packet queuing, cache, buffering |
31 | Xukai Zou, Byrav Ramamurthy |
A Simple Group Diffie-Hellman Key Agreement Protocol Without Member Serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIS ![In: Computational and Information Science, First International Symposium, CIS 2004, Shanghai, China, December 16-18, 2004, Proceedings, pp. 725-731, 2004, Springer, 3-540-24127-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Arran Derbyshire, Wayne Luk |
Combining Serialization and Reconfiguration for Convolver Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings, pp. 344-346, 2000, IEEE Computer Society, 0-7695-0871-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
30 | Paolo Romano 0002, Roberto Palmieri, Francesco Quaglia, Nuno Carvalho, Luís E. T. Rodrigues |
Brief announcement: on speculative replication of transactional systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2010: Proceedings of the 22nd Annual ACM Symposium on Parallelism in Algorithms and Architectures, Thira, Santorini, Greece, June 13-15, 2010, pp. 69-71, 2010, ACM, 978-1-4503-0079-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
serialization theory, replication, atomic broadcast |
30 | Tancred Lindholm, Jaakko Kangasharju |
How to edit gigabyte XML files on a mobile phone with XAS, RefTrees, and RAXS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiQuitous ![In: 5th Annual International Conference on Mobile and Ubiquitous Systems: Computing, Networking, and Services, MobiQuitous 2008, July 21-25, 2008, Dublin, Ireland, 2008, ICST / ACM, 978-963-9799-27-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
XML, mobile, tree, parsing, serialization, lazy |
30 | Daniel Gruhl, Daniel N. Meredith, Jan Pieper |
A case study on alternate representations of data structures in XML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2005 ACM Symposium on Document Engineering, Bristol, UK, November 2-4, 2005, pp. 217-219, 2005, ACM, 1-59593-240-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
WebFountain, XML, data structures, compression, serialization |
30 | Anish Muttreja, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha |
Automated energy/performance macromodeling of embedded software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 99-102, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
data serialization, genetic programming, regression, embedded software, symbolic, macromodeling |
30 | Jan Lindström, Kimmo E. E. Raatikainen |
Using importance of transactions and optimistic concurrency control in firm real-time databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 463-467, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
optimistic concurrency control protocol, transaction importance, hard real-time databases, database consistency requirements, heterogeneous transactions, OCC-PDATI, serialization order dynamic adjustment, prototype database system, performance, real-time systems, concurrency control, timing, database management systems, transaction processing, timing constraints, conflict resolution, access protocols |
30 | Jan Lindström |
Extensions to optimistic concurrency control with time intervals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 108-, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
optimistic approach, RTDBS, restart overhead, unnecessary restart problem, OCC-TI, Optimistic Concurrency Control with Time Intervals, basic dynamic adjustment, serialization order conflict resolution method, real-time systems, protocols, concurrency control, optimisation, transaction processing, real time database systems, real time database system, optimistic concurrency control, time intervals, locking protocols |
30 | Anand Natrajan, Paul F. Reynolds Jr. |
Resolving Concurrent Interactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIS-RT ![In: 3rd International Workshop on Distributed Interactive Simulation and Real-Time Applications (DIS-RT '99), 22-23 October 1999, Greenbelt, MD, USA, pp. 85-92, 1999, IEEE Computer Society, 0-7695-0459-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
dependent concurrent interaction effects serialization |
30 | Ugur Halici, Asuman Dogac |
Concurrency Control in Distributed Databases Through Time Intervals and Short-Term Locks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(8), pp. 994-1003, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
concurrent transaction execution, distributed database management systems, ordering by serialization numbers, OSN method, certifier model, time-interval techniques, short-term locks, standard transaction execution policy, log classification, concurrency, distributed databases, distributed databases, concurrency control, concurrency control, deadlocks, serializability, complexity analysis, two-phase locking, distributed scheduler, timestamp ordering |
30 | Daniel M. Dias, Balakrishna R. Iyer, John T. Robinson, Philip S. Yu |
Integrated Concurrency-Coherency Controls for Multisystem Data Sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(4), pp. 437-448, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
read-write synchronisation, multisystem data sharing, data sharing system structure, shared intermediate memory, early commit processing, write-serialization, integrated concurrency protocol, integrated concurrency-coherency control protocol, performance evaluation, performance analysis, protocols, distributed databases, concurrency control, queueing theory, buffering, buffer storage, queueing model |
30 | Jeffrey P. Buzen, Subhash C. Agrawal |
State space transformations in queueing network modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 1983, August 29-31, 1983, Minneapolis, Minnesota, USA, pp. 55-69, 1983, ACM, 0-89791-112-1. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
Aggregate server method, Serialization delays, Shadow CPU algorithm, State space transformations, Performance evaluation, Approximation, Metamodeling, Queueing networks, Product form, Preemptive priority, Operational analysis |
22 | Gautam Upadhyaya, Samuel P. Midkiff, Vijay S. Pai |
Using data structure knowledge for efficient lock generation and strong atomicity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2010, Bangalore, India, January 9-14, 2010, pp. 281-292, 2010, ACM, 978-1-60558-877-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
automatic lock generation, parallel programming, transactional memory |
22 | Tomer Heber, Danny Hendler, Adi Suissa |
On the Impact of Serializing Contention Management on STM Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OPODIS ![In: Principles of Distributed Systems, 13th International Conference, OPODIS 2009, Nîmes, France, December 15-18, 2009. Proceedings, pp. 225-239, 2009, Springer, 978-3-642-10876-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Andrew D. Hilton, Amir Roth |
Decoupled store completion/silent deterministic replay: enabling scalable data memory for CPR/CFP processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 245-254, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
checkpoint processors, load-store queues |
22 | Zhu Ning, Chen Xin-yuan, Zhang Yong-fu, Xin Si-yuan |
Design and Application of Penetration Attack Tree Model Oriented to Attack Resistance Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (3) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 3: Grid Computing / Distributed and Parallel Computing / Information Security, December 12-14, 2008, Wuhan, China, pp. 622-626, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Marc Alier Forment, Pablo Casado Arias, María José Casany Guerrero |
J2MEMicroDB: an open source distributed database engine for mobile applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 5th International Symposium on Principles and Practice of Programming in Java, PPPJ 2007, Lisboa, Portugal, September 5-7, 2007, pp. 231-235, 2007, ACM, 978-1-59593-672-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
distributed client applications, ubiquitous information access, mobile devices, data management, storage, distributed software development |
22 | Suhyun Kim, Daeyoung Kim 0001, Jongwoo Sung, Tomás Sánchez López |
Template based High Performance ALE-TSOAP Message Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SERA ![In: 5th ACIS International Conference on Software Engineering Research, Management & Applications (SERA 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea, pp. 534-544, 2007, IEEE Computer Society, 0-7695-2867-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Marc Alier Forment, Pablo Casado Arias, María José Casany Guerrero |
J2MEMicroDB: a new Open Source lightweight Database Engine for J2ME Mobile Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2007 International Conference on Multimedia and Ubiquitous Engineering (MUE 2007), 26-28 April 2007, Seoul, Korea, pp. 247-252, 2007, IEEE Computer Society, 978-0-7695-2777-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Hon Fung Li, Eslam Al Maghayreh, Dhrubajyoti Goswami |
Detecting Atomicity Errors in Message Passing Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Eighth International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2007), 3-6 December 2007, Adelaide, Australia, pp. 193-200, 2007, IEEE Computer Society, 0-7695-3049-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Dae-Hwan Kim, Hyuk-Jae Lee |
Integrated Instruction Scheduling and Fine-Grain Register Allocation for Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 6th International Workshop, SAMOS 2006, Samos, Greece, July 17-20, 2006, Proceedings, pp. 269-278, 2006, Springer, 3-540-36410-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Jaakko Kangasharju, Tancred Lindholm, Sasu Tarkoma |
On Encrypting and Signing Binary XML Messages in the Wireless Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2006 IEEE International Conference on Web Services (ICWS 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 637-646, 2006, IEEE Computer Society, 0-7695-2669-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Rashed Zafar Bhatti, Monty Denneau, Jeff Draper |
2 Gbps SerDes design based on IBM Cu-11 (130nm) standard cell technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006, pp. 198-203, 2006, ACM, 1-59593-347-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CDR, CML driver, LVDS, SerDes, duty cycle correction (DCC), jitter and skew compensation, standard cell based serializer and deserializer circuits for high speed signaling, PLL, DLL, phase detection |
22 | Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre |
Fitting ATE Channels with Scan Chains: a Comparison between a Test Data Compression Technique and Serial Loading of Scan Chains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: Third IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2006), 17-19 January 2006, Kuala Lumpur, Malaysia, pp. 295-300, 2006, IEEE Computer Society, 0-7695-2500-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Klaus Haller, Heiko Schuldt, Can Türker |
Decentralized coordination of transactional processes in peer-to-peer environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2005 ACM CIKM International Conference on Information and Knowledge Management, Bremen, Germany, October 31 - November 5, 2005, pp. 28-35, 2005, ACM, 1-59593-140-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
DSGT, global correctness, partial rollback, transactional processes, peer-to-peer communication, decentralized coordination |
22 | David B. Lomet, Richard T. Snodgrass, Christian S. Jensen |
Using the Lock Manager to Choose Timestamps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAS ![In: Ninth International Database Engineering and Applications Symposium (IDEAS 2005), 25-27 July 2005, Montreal, Canada, pp. 357-368, 2005, IEEE Computer Society, 0-7695-2404-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Antonino Mazzeo, Luigi Romano, Giacinto Paolo Saggese, Nicola Mazzocca |
FPGA-Based Implementation of a Serial RSA Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10582-10589, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Neil Johnson 0002, Alan Mycroft |
Combined Code Motion and Register Allocation Using the Value State Dependence Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 12th International Conference, CC 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 1-16, 2003, Springer, 3-540-00904-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Kenneth Chiu, Madhusudhan Govindaraju, Dennis Gannon |
The Proteus multiprotocol message library. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the 2002 ACM/IEEE conference on Supercomputing, Baltimore, Maryland, USA, November 16-22, 2002, CD-ROM, pp. 34:1-34:9, 2002, IEEE Computer Society, 0-7695-1524-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
multiprotocol, Java, middleware, grid, C++, component, SOAP |
22 | Vladimir Getov, Michael Philippsen |
Java Communications for Large-Scale Parallel Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LSSC ![In: Large-Scale Scientific Computing, Third International Conference, LSSC 2001, Sozopol, Bulgaria, June 6-10, 2001, Revised Papers, pp. 33-45, 2001, Springer, 3-540-43043-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Rob van Nieuwpoort, Thilo Kielmann, Henri E. Bal |
Satin: Efficient Parallel Divide-and-Conquer in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings., pp. 690-699, 2000, Springer, 3-540-67956-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Werner Obermair, Michael Schrefl |
Temporally Faithful Execution of Business Transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, 12th International Conference CAiSE 2000, Stockholm, Sweden, June 5-9, 2000, Proceedings, pp. 462-481, 2000, Springer, 3-540-67630-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Christian Jacobi 0002, Cédric Lichtenau |
Highly Concurrent Locking in Shared Memory Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 477-481, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Maurice Herlihy, Beng-Hong Lim, Nir Shavit |
Scalable Concurrent Counting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(4), pp. 343-364, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
combining trees, counting networks |
22 | Neil J. Gunther |
qcomp: A Tool for Assessing Online Transaction Processing Scalability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Performance Evaluation ![In: Computer Performance Evaluation, Modeling Techniques and Tools, 7th International Conference, Vienna, Austria, May 3-6, 1994, Proceedings, pp. 305-320, 1994, Springer, 3-540-58021-2. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
22 | Arjan J. C. van Gemund |
Performance Prediction of Parallel Processing Systems: The PAMELA Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 7th international conference on Supercomputing, ICS 1993, Tokyo, Japan, July 20-22, 1993, pp. 318-327, 1993, ACM, 0-89791-600-X. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
22 | Maurice Herlihy |
Concurrency and Availability as Dual Properties of Replicated Atomic Data ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 37(2), pp. 257-278, April 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
22 | Albert Burger, Vijay Kumar 0002 |
'PRABHA' - A Distributed Concurrency Control Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the ACM 18th Annual Computer Science Conference on Cooperation, CSC '90, Sheraton Washington Hotel, Washington, DC, USA, February 20-22, 1990, pp. 392-397, 1990, ACM, 0-89791-348-5. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
22 | Tony P. Ng |
Using Histories to Implement Atomic Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 7(4), pp. 360-393, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
22 | Joseph Pallas, David M. Ungar |
Multiprocessor Smalltalk: A Case Study of a Multiprocessor-Based Programming Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, June 22-24, 1988, pp. 268-277, 1988, ACM, 0-89791-269-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
Smalltalk, Smalltalk-80 |
20 | Anand Kumar Bapatla, Saraju P. Mohanty, Elias Kougianos |
PharmaChain 3.0: Efficient Tracking and Tracing of Drugs in Pharmaceutical Supply Chain Using Blockchain Integrated Product Serialization Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SN Comput. Sci. ![In: SN Comput. Sci. 5(1), pp. 149, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Dan Song 0006, Yuanxiang Yang, Wenhui Li 0001, Xuanya Li, Min Liu, An-An Liu |
Structured serialization semantic transfer network for unsupervised cross-domain recognition and retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Process. Manag. ![In: Inf. Process. Manag. 61(1), pp. 103565, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Tianyi Chen, Xiaotong Guan, Shi Shuai, Cuiting Huang, Michal Aibin |
Lite2: A Schemaless Zero-Copy Serialization Format. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. ![In: Comput. 13(4), pp. 89, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Koen Zandberg, Mayank Gulati, Gerhard Wunder, Emmanuel Baccelli |
Model CBOR Serialization for Federated Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.14056, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Byeongtae Park, Dong-Kyu Chae |
A Novel Anomaly Detection Framework Based on Model Serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 107(3), pp. 420-423, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Dmytro I. Shvaika, Andrii I. Shvaika, Volodymyr O. Artemchuk |
Data serialization protocols in IoT: problems and solutions using the ThingsBoard platform as an example. ![Search on Bibsonomy](Pics/bibsonomy.png) |
doors ![In: Proceedings of the 4th Edge Computing Workshop (doors 2024), Zhytomyr, Ukraine, April 5, 2024., pp. 70-75, 2024, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
20 | Fangming Lu, Xingda Wei, Zhuobin Huang, Rong Chen 0001, Minyu Wu, Haibo Chen 0001 |
Serialization/Deserialization-free State Transfer in Serverless Workflows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: Proceedings of the Nineteenth European Conference on Computer Systems, EuroSys 2024, Athens, Greece, April 22-25, 2024, pp. 132-147, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Yongjun Lee, Jaehoon Sim, Dong Ho Kim, Dongho You |
A Comparison of Serialization Formats for Point Cloud Live Video Streaming over WebRTC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCE ![In: IEEE International Conference on Consumer Electronics, ICCE 2024, Las Vegas, NV, USA, January 6-8, 2024, pp. 1-3, 2024, IEEE, 979-8-3503-2413-6. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Sukriti Jaitly, Tanay Shah, Ashish Shugani, Razik Singh Grewal |
Towards Better Serialization of Tabular Data for Few-shot Classification with Large Language Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2312.12464, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Felix Wang |
Distributed Compressed Sparse Row Format for Spiking Neural Network Simulation, Serialization, and Interoperability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.05587, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Andrzej Chydzinski, Blazej Adamczyk |
On the Influence of AQM on Serialization of Packet Losses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 23(4), pp. 2197, February 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Inho Choi, Ellis Michael, Yunfan Li, Dan R. K. Ports, Jialin Li 0001 |
Hydra: Serialization-Free Network Ordering for Strongly Consistent Distributed Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NSDI ![In: 20th USENIX Symposium on Networked Systems Design and Implementation, NSDI 2023, Boston, MA, April 17-19, 2023., pp. 293-320, 2023, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
20 | Deepti Raghavan, Shreya Ravi, Gina Yuan, Pratiksha Thaker, Sanjari Srivastava, Micah Murray, Pedro Henrique Penna, Amy Ousterhout, Philip Alexander Levis, Matei Zaharia, Irene Zhang |
Cornflakes: Zero-Copy Serialization for Microsecond-Scale Networking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 29th Symposium on Operating Systems Principles, SOSP 2023, Koblenz, Germany, October 23-26, 2023, pp. 200-215, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Zhaoyun Jiang, Jiaqi Guo, Shizhao Sun, Huayu Deng, Zhongkai Wu, Vuksan Mijovic, Zijiang James Yang, Jian-Guang Lou, Dongmei Zhang 0001 |
LayoutFormer++: Conditional Graphic Layout Generation via Constraint Serialization and Decoding Space Restriction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: IEEE/CVF Conference on Computer Vision and Pattern Recognition, CVPR 2023, Vancouver, BC, Canada, June 17-24, 2023, pp. 18403-18412, 2023, IEEE, 979-8-3503-0129-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Hubert Mohr-Daurat, Holger Pirk |
Wisent: An In-Memory Serialization Format for Leafy Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB Workshops ![In: Joint Proceedings of Workshops at the 49th International Conference on Very Large Data Bases (VLDB 2023), Vancouver, Canada, August 28 - September 1, 2023., 2023, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
20 | Birte Friesel, Olaf Spinczyk |
Data Serialization Formats for the Internet of Things. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Electron. Commun. Eur. Assoc. Softw. Sci. Technol. ![In: Electron. Commun. Eur. Assoc. Softw. Sci. Technol. 80, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Juan Cruz Viotti, Mital Kinderkhedia |
A Benchmark of JSON-compatible Binary Serialization Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.03051, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
20 | Juan Cruz Viotti, Mital Kinderkhedia |
A Survey of JSON-compatible Binary Serialization Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.02089, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
20 | Dirk Pawlaszczyk |
Java Serialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Forensics ![In: Mobile Forensics - The File Format Handbook - Common File Formats and File Systems Used in Mobile Devices, pp. 167-180, 2022, Springer, 978-3-030-98466-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Vasilis Kalos, George C. Polyzos |
Requirements and Secure Serialization for Selective Disclosure Verifiable Credentials. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEC ![In: ICT Systems Security and Privacy Protection - 37th IFIP TC 11 International Conference, SEC 2022, Copenhagen, Denmark, June 13-15, 2022, Proceedings, pp. 231-247, 2022, Springer, 978-3-031-06974-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 487 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ >>] |
|