The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase sign-off (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2005 (16) 2006-2015 (16) 2018-2023 (12)
Publication types (Num. hits)
article(9) inproceedings(35)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 43 occurrences of 41 keywords

Results
Found 44 publication records. Showing 44 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41Sari Onaissi, Khaled R. Heloue, Farid N. Najm Clock skew optimization via wiresizing for timing sign-off covering all process corners. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock skew optimization, parameterized timing analysis, sign-off, wiresizing, variability
34Alan Su 0002, Ta-Yung Liu, Yu-Chin Hsu, Mike Tien-Chien Lee Eliminating False Loops Caused by Sharing in Control Path. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF false loops, control path sharing, timing validation, design sign-off phase, data path sharing, control logic sharing, removal algorithm, computation complexity, high level synthesis, high level synthesis, filter, resource sharing, logic minimization
25Harry Foster Applied Boolean Equivalence Verification and RTL Static Sign-Off. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Mohammad Ghasemisharif, Amrutha Ramesh, Stephen Checkoway, Chris Kanich, Jason Polakis O Single Sign-Off, Where Art Thou? An Empirical Analysis of Single Sign-On Account Hijacking and Session Management on the Web. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2018 DBLP  BibTeX  RDF
24Mark Redford, Joseph Sawicki, Prasad Subramaniam, Cliff Hou, Yervant Zorian, Kimon Michaels DFM: don't care or competitive weapon? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RDR, physical verification, sign-off, yield, DFM, design-for-manufacturing, design rules, manufacturing variability, DRC
24Hiroyuki Yagi, Wolfgang Roesner, Tim Kogel, Eshel Haritan, Hidekazu Tangi, Michael McNamara, Gary Smith 0001, Nikil D. Dutt, Giovanni Mancini ESL hand-off: fact or EDA fiction? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sign-off, specification, formalism, ESL, hand-off
18Emre Tuncer, Jordi Cortadella, Luciano Lavagno Enabling adaptability through elastic clocks. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power design, GALS, desynchronization, adaptive voltage scaling
18Savithri Sundareswaran, Lucie Nechanicka, Rajendran Panda, Sergey Gavrilov, Roman A. Solovyev, Jacob A. Abraham A timing methodology considering within-die clock skew variations. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap Fast Interconnect and Gate Timing Analysis for Performance Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tim Fox, Lou Covey, Susan Mack, David Heacock, Ed P. Huijbregts, Vess Johnson, Avner Kornfeld, Andrew Yang, Paul S. Zuchowski Should our power approach be current? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power design, energy consumption, power analysis, leakage current, dynamic power, static power
18Jie Yang 0010, Luigi Capodieci, Dennis Sylvester Advanced timing analysis based on post-OPC extraction of critical dimensions. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process CD, layout, OPC, design flow
16Farimah Farahmandi, Ankur Srivastava 0001, Giorgio Di Natale, Mark M. Tehranipoor Introduction to the Special Issue on CAD for Security: Pre-silicon Security Sign-off Solutions Through Design Cycle. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Surajit Bhattacherjee, Dipankar Pal IP Transformation Initiatives to Generate Scalable Functional Verification Collaterals for Smart Reusability and Reduced Effort for Sign-off. Search on Bibsonomy ICNC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yufei Chen, Xiao Dong, Wei-Kai Shih, Cheng Zhuo Invited Paper: Unleashing the Potential of Machine Learning: Harnessing the Dynamics of Supply Noise for Timing Sign-Off. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Nandika R, Varsha M, Samhitha Bhat M, Prabhavathi P SUTRA : Methodology and Sign-off. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Siting Liu 0002, Ziyi Wang, Fangzhou Liu, Yibo Lin, Bei Yu 0001, Martin D. F. Wong Concurrent Sign-off Timing Optimization via Deep Steiner Points Refinement. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Giuliano Sisto, Rongmei Chen, Richard Chou, Geert Van der Plas, Eric Beyne, Rod Metcalfe, Dragomir Milojevic Design And Sign-off Methodologies For Wafer-To-Wafer Bonded 3D-ICs At Advanced Nodes (invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Minji Lee, Changseok Choi, Donghyeon Seo, Byeongjun Bang, Yongseok Kang, Woohyun Paik Improving Analysis Coverage for Dynamic IR Drop Sign-off in FinFET SoC Design. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Nikhil R. Pal Friends, It Is My Time to Sign Off! [President's Message]. Search on Bibsonomy IEEE Comput. Intell. Mag. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Christian Schlünder, Katja Waschneck, Peter Rotter, Susanne Lachenmann, Hans Reisinger, Franz Ungar, Georg Georgakos From Device Aging Physics to Automated Circuit Reliability Sign Off. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Pranav Ashar, Vinod Viswanath Closing the Verification Gap with Static Sign-off. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Norman Chang, Ajay Baranwal, Hao Zhuang, Ming-Chih Shih, Rahul Rajan, Yaowei Jia, Hui-Lun Liao, Ying-Shiun Li, Ting Ku, Rex Lin Machine learning based generic violation waiver system with application on electromigration sign-off. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16George Gonzalez, Murari Mani, Mahesh Sharma Large-scale multi-corner leakage optimization under the sign-off timing environment. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Vaibhav Rastogi, Ankit Agrawal 0001 All your Google and Facebook logins are belong to us: A case for single sign-off. Search on Bibsonomy IC3 The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ajay Kashyap, Soenke Grimpen, Shyam Sundaramoorthy Achieving power and reliability sign-off for automotive semiconductor designs. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Kwang Chien Yee An evaluation of clinician's view on electronic pathology reporting sign off and patient safety. Search on Bibsonomy HIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Hamed Abrishami, Jinan Lou, Jeff Qin, Jürgen Frößl, Massoud Pedram Post sign-off leakage power optimization. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
9Pedro Marques Morgado, Paulo F. Flores, L. Miguel Silveira Generating realistic stimuli for accurate power grid analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stimuli generation, simulation, verification, Power grid, ground bounce, voltage drop
9Pradip A. Thaker Holistic verification: myth or magic bullet? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC verification, mixed-signal verification, power management verification, emulation
9Ayhan A. Mutlu, Jiayong Le, Ruben Molina, Mustafa Celik A parametric approach for handling local variation effects in timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on chip variation (OCV), timing, parametric analysis
9Ali Dasdan, Ivan Hom Handling inverted temperature dependence in static timing analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF timing corners, voltage dependence, Static timing analysis, temperature dependence
9Emre Salman, Eby G. Friedman, Ali Dasdan, Feroze Taraporevala, Kayhan Küçükçakar Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mariagrazia Graziano, Cristiano Forzan, Davide Pandini Power Supply Selective Mapping for Accurate Timing Analysis. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Cristiano Forzan, Davide Pandini Modeling the Non-Linear Behavior of Library Cells for an Accurate Static Noise Analysis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Nobuyuki Nishiguchi An advance RTL to GDS2 design methodology for 90 nm and below system LSIs to solve timing closure, signal integrity and design for manufacturing. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Cristiano Forzan, Davide Pandini A complete methodology for an accurate static noise analysis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF noise propagation, crosstalk, signal integrity
9Tobias Thiel Have I Really Met Timing? - Validating PrimeTime Timing Reports with Spice. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar Efficient RTL Power Estimation for Large Designs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Jindrich Zejda, Paul Frain General framework for removal of clock network pessimism. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock network reconvergence, voltage and temperature delay variation, process, static timing analysis, deep sub-micron
9Eric Dupont, Michael Nicolaidis Robustness IPs for Reliability and Security of SoCs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi A multilevel engine for fast power simulation of realistic inputstreams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Alan Su 0002, Yu-Chin Hsu, Ta-Yung Liu, Mike Tien-Chien Lee Eliminating false loops caused by sharing in control path. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF control path, false loop
9Ralph H. J. M. Otten Global wires: harmful?. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Rajesh K. Gupta 0001, Yervant Zorian Introducing Core-Based System Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #44 of 44 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license